ኢንቴል ሎጎኤኤን 987፡ የማይንቀሳቀስ ዝማኔ ከፊል
የማዋቀር አጋዥ ስልጠና

ለIntel® ™ Agilex F-Series FPGA ልማት ቦርድ የማይለዋወጥ ማሻሻያ ከፊል መልሶ ማዋቀር አጋዥ ስልጠና

ይህ የመተግበሪያ ማስታወሻ በIntel ® F-Series FPGA ልማት ቦርድ ላይ የማይንቀሳቀስ ማሻሻያ ከፊል ዳግም ማዋቀር (SUPR) ያሳያል። ከፊል ዳግም ማዋቀር (PR) የኢንቴል FPGAን የተወሰነ ክፍል በተለዋዋጭ እንዲያዋቅሩ ይፈቅድልዎታል፣ የተቀረው FPGA ግን መስራቱን ይቀጥላል። PR ከዚህ ክልል ውጭ ባሉ አካባቢዎች ላይ ምንም ተጽእኖ ሳያሳድር በንድፍዎ ውስጥ በአንድ የተወሰነ ክልል ውስጥ ያሉ ብዙ ሰዎችን ይተገብራል። ይህ ዘዴ የሚከተለው አድቫን ይሰጣልtagበርካታ ተግባራት ተመሳሳይ የ FPGA ሃብቶችን በሚጋሩባቸው ስርዓቶች ውስጥ፡-

  • የአሂድ ጊዜ ዳግም ማዋቀርን ይፈቅዳል
  • የንድፍ መስፋፋትን ይጨምራል
  • የስርዓተ-ፆታ ጊዜን ይቀንሳል
  • በንድፍ ውስጥ ተለዋዋጭ ጊዜ-ማባዛት ተግባራትን ይደግፋል
  • የቦርድ ቦታን በብቃት በመጠቀም ወጪን እና የኃይል ፍጆታን ይቀንሳል

የማይንቀሳቀስ ዝመና ከፊል ዳግም ማዋቀር ምንድነው?

በባህላዊ PR፣ ወደ ስታቲክ ክልል የሚደረግ ማንኛውም ለውጥ የእያንዳንዱን ሰው እንደገና ማጠናቀርን ይጠይቃል። ነገር ግን፣ በ SUPR የሰዎችን መልሶ ማሰባሰብ ሳያስፈልግ ለውጥን የሚፈቅድ ልዩ ክልልን መግለፅ ይችላሉ። ይህ ዘዴ ለአደጋ ቅነሳ ለመለወጥ ለሚፈልጉ የንድፍ ክፍል ጠቃሚ ነው፣ ነገር ግን ያ የሩጫ ጊዜ ዳግም ማዋቀርን በፍጹም አያስፈልገውም።

1.1. የመማሪያ መስፈርቶች
ይህ አጋዥ ስልጠና የሚከተሉትን ይፈልጋል።

  • ከIntel Quartus® Prime Pro እትም FPGA ትግበራ ፍሰት እና ፕሮጀክት ጋር መሰረታዊ መተዋወቅ files.
  •  የIntel Quartus Prime Pro እትም ስሪት 22.3 መጫን ከIntel Agilex መሣሪያ ድጋፍ።
  • ለ FPGA ትግበራ፣ አንድ ጄTAG አግዳሚ ወንበር ላይ ከ Intel Agilex F-Series FPGA ልማት ቦርድ ጋር ግንኙነት።
  • የማጣቀሻ ንድፍ አውርድ Fileኤስ. ተዛማጅ መረጃ
  • ከፊል መልሶ ማዋቀር የተጠቃሚ መመሪያ
  • ከፊል መልሶ ማዋቀር አጋዥ ስልጠናዎች
  • ከፊል ዳግም ማዋቀር የመስመር ላይ ስልጠና

ኢንቴል ኮርፖሬሽን. መብቱ በህግ የተጠበቀ ነው. ኢንቴል፣ የኢንቴል አርማ እና ሌሎች የኢንቴል ምልክቶች የኢንቴል ኮርፖሬሽን ወይም የስርጭቱ የንግድ ምልክቶች ናቸው። ኢንቴል የኤፍፒጂኤ እና ሴሚኮንዳክተር ምርቶቹን በIntel መደበኛ ዋስትና መሰረት ለአሁኑ ዝርዝር መግለጫዎች ዋስትና ይሰጣል፣ነገር ግን በማናቸውም ምርቶች እና አገልግሎቶች ላይ ያለማሳወቂያ በማንኛውም ጊዜ ለውጦችን የማድረግ መብቱ የተጠበቀ ነው። ኢንቴል በዚህ ውስጥ የተገለጸውን ማንኛውንም መረጃ፣ ምርት ወይም አገልግሎት ከመተግበሩ ወይም ከመጠቀሙ የተነሳ ምንም አይነት ሃላፊነት ወይም ተጠያቂነት አይወስድም። የኢንቴል ደንበኞች በማናቸውም የታተመ መረጃ ላይ ከመታመንዎ በፊት እና ለምርቶች ወይም አገልግሎቶች ትዕዛዝ ከማቅረባቸው በፊት የቅርብ ጊዜውን የመሳሪያ ዝርዝር መግለጫዎችን እንዲያገኙ ይመከራሉ። *ሌሎች ስሞች እና የንግድ ምልክቶች እንደሌሎች ንብረት ሊጠየቁ ይችላሉ።
ISO 9001: 2015 ተመዝግቧል
1.2. የማጣቀሻ ንድፍ በላይview
ይህ የማጣቀሻ ንድፍ አንድ ባለ 32-ቢት ቆጣሪን ያካትታል። በቦርዱ ደረጃ, ዲዛይኑ ሰዓቱን ከ 50 ሜኸር ምንጭ ጋር ያገናኛል, ከዚያም ውጤቱን በቦርዱ ላይ ከአራት LEDs ጋር ያገናኛል. ውጤቱን ከቆጣሪ ቢትስ መምረጥ, በተወሰነ ቅደም ተከተል, ኤልኢዲዎች በተወሰነ ድግግሞሽ ብልጭ ድርግም ይላሉ. የላይኛው_ቆጣሪ ሞጁል የ SUPR ክልል ነው።
ምስል 1. ጠፍጣፋ የማጣቀሻ ንድፍ

ኢንቴል ሎጎ1.3. የማይለዋወጥ አዘምን ክልል በላይview
የሚከተለው ምስል የ SUPR ክልልን የሚያካትት የ PR ንድፍ የማገጃ ንድፍ ያሳያል። ብሎክ ሀ ከፍተኛ የማይንቀሳቀስ ክልል ነው። ብሎክ B የ SUPR ክልል ነው። አግድ C የ PR ክፍልፍል ነው።
ምስል 2. የ PR ንድፍ ከ SUPR ክልል ጋር

intel Agilex F-Series FPGA ልማት ቦርድ - ክልል

  • Top Static Region - የማይለወጥ የንድፍ አመክንዮ ይዟል። ይህንን ክልል መቀየር ሁሉንም ተዛማጅ ሰዎች እንደገና ማሰባሰብን ይጠይቃል። የማይለዋወጥ ክልል ለማንኛውም ሰው የማይለወጥ የንድፍ ክፍልን ያካትታል. ይህ ክልል የዳርቻ እና የዋና መሳሪያ ሃብቶችን ሊያካትት ይችላል። በስታቲስቲክ ክልል ውስጥ በ SUPR እና PR ክፍልፍሎች መካከል ያሉትን ሁሉንም ግንኙነቶች መመዝገብ አለብዎት። ይህ መስፈርት የማይንቀሳቀስ ክልልን በተመለከተ ለማንኛውም ሰው የጊዜ መዘጋትን ለማረጋገጥ ይረዳል።
  • B SUPR ክልል—ለአደጋ ቅነሳ ሊለወጥ የሚችል ዋና-ብቻ አመክንዮ ይዟል፣ነገር ግን የሩጫ ጊዜ ዳግም ማዋቀርን በፍጹም አያስፈልገውም። የ SUPR ክልል ከ PR ክፍልፍል ጋር ተመሳሳይ መስፈርቶች እና ገደቦች አሉት። የ SUPR ክፍልፍል ዋና ሀብቶችን ብቻ ሊይዝ ይችላል። ስለዚህ የ SUPR ክፍልፍሉ የንድፍ እና ሰዓቶችን የያዘ የከፍተኛ ደረጃ ስርወ ክፍልፍል የልጅ ክፍፍል መሆን አለበት። የSUPR ክልልን መቀየር የSRAM Object ይፈጥራል File (.sof) ከነባር የተጠናከረ ጥሬ ሁለትዮሽ ጋር ተኳሃኝ ነው። File (አርቢፍ) files ለ PR ክፍልፍል ሐ.
  • C PR Partition - በማንኛውም የንድፍ አመክንዮ የሚስማማ እና በሚጠናቀርበት ጊዜ የጊዜ መዘጋትን በሚያሳካ ጊዜ በሂደት ሊደግሙት የሚችሉት የዘፈቀደ አመክንዮ ይዟል።

1.4. የማጣቀሻ ንድፍ አውርድ Files
ከፊል መልሶ ማዋቀር አጋዥ ስልጠና በሚከተለው ቦታ ይገኛል። https://github.com/intel/fpga-partial-reconfig
ትምህርቱን ለማውረድ፡-

  1. Clone ን ጠቅ ያድርጉ ወይም ያውርዱ።
  2. ዚፕ አውርድን ጠቅ ያድርጉ። fpga-partial-reconfig-master.zipን ንቀል file.
  3. የማመሳከሪያ ንድፉን ለማግኘት ወደ አጋዥ ስልጠናዎች/agilex_pcie_devkit_blinking_led_supr ንዑስ አቃፊ ይሂዱ።
    የጠፍጣፋው አቃፊ የሚከተሉትን ያካትታል files:
    ሠንጠረዥ 1. የማጣቀሻ ንድፍ Files
File ስም መግለጫ
ከላይ. sv ከፍተኛ ደረጃ file የንድፍ ጠፍጣፋ አተገባበርን የያዘ. ይህ ሞጁል ብልጭልጭ_የሚመራውን ንዑስ ክፍልፋዮችን እና ከፍተኛውን_ቆጣሪ ሞጁሉን ያፋጥናል።
ኦፕ_ቆጣሪ sv LED [32]ን በቀጥታ የሚቆጣጠር ከፍተኛ ደረጃ 1-ቢት ቆጣሪ። የቆጣሪው የተመዘገበው ውፅዓት LED [0]ን ይቆጣጠራል፣ እንዲሁም LED [2] እና LED [3]ን በብልጭልጭ_ሊድ ሞጁል ያንቀሳቅሳል።
ብልጭልጭ_መሪ። ኤስዲሲ የፕሮጀክቱን የጊዜ ገደቦችን ይገልጻል።
ብልጭልጭ_መሪ። sv በዚህ መማሪያ ውስጥ፣ ይህንን ሞጁል ወደ የወላጅ PR ክፍልፍል ይለውጠዋል። ሞጁሉ LED [2] እና LED [3]ን የሚቆጣጠረው የ top_counter ሞጁል የተመዘገበውን ውጤት ይቀበላል።
ብልጭልጭ_ሊድ.qpf Intel Quartus Prime ፕሮጀክት file በፕሮጀክቱ ውስጥ ያሉትን ሁሉንም ክለሳዎች ዝርዝር የያዘ.
ብልጭልጭ_መሪ . qs ረ Intel Quartus Prime ቅንብሮች file የፕሮጀክቱን ስራዎች እና መቼቶች የያዘ.

ማስታወሻ፡- የ supr አቃፊው ሙሉውን ስብስብ ይዟል fileይህን መተግበሪያ በመጠቀም ፈጥረዋል ማስታወሻ. እነዚህን ዋቢ አድርግ fileበእግረኛው ወቅት በማንኛውም ጊዜ s.
1.5. የማጣቀሻ ንድፍ የእግር ጉዞ
የሚከተሉት ደረጃዎች የ SUPR ትግበራን በጠፍጣፋ ንድፍ ይገልጻሉ

  • ደረጃ 1፡ መጀመር
  • ደረጃ 2: የንድፍ ክፍልፋዮችን ይፍጠሩ
  • ደረጃ 3፡ ምደባ እና ማዘዋወር ክልሎችን መድብ
  • ደረጃ 4፡ ሰዎችን ይግለጹ
  • ደረጃ 5፡ ክለሳዎችን ይፍጠሩ
  • ደረጃ 6፡ የመሠረት ማሻሻያውን አጠናቅቅ
  • ደረጃ 7፡ የPR ትግበራ ክለሳዎችን ያዋቅሩ
  • ደረጃ 8፡ የ SUPR አመክንዮ ለውጥ
  • ደረጃ 9፡ ቦርዱን ፕሮግራም አድርግ

ምስል 3. የ SUPR ስብስብ ፍሰት

intel Agilex F-Series FPGA ልማት ቦርድ - ፍሰት

1.5.1. ደረጃ 1፡ መጀመር
የማጣቀሻውን ንድፍ ለመቅዳት fileወደ የስራ አካባቢዎ እና ብልጭ ድርግም የሚመራውን ጠፍጣፋ ንድፍ ያጠናቅቁ፡

  1. ከመጀመርዎ በፊት የማጣቀሻ ንድፍ አውርድ Fileገጽ 5 ላይ።
  2. በስራ አካባቢዎ ውስጥ የ agilex_pcie_devkit_blinking_led_supr ማውጫ ይፍጠሩ።
  3. የወረዱትን አጋዥ ስልጠናዎች/agilex_pcie_devkit_blinking_led/flat ንዑስ አቃፊን ወደ agilex_pcie_devkit_blinking_led_supr ማውጫ ይቅዱ።
  4. በ Intel Quartus Prime Pro እትም ሶፍትዌር ውስጥ፣ ጠቅ ያድርጉ File ➤ ፕሮጄክትን ይክፈቱ እና /flat/blinking_led.qpfን ይክፈቱ።
  5. የመሠረት ዲዛይኑን ለማጠናቀር፣ በመስራት ላይ ➤ ጀምር ማጠናቀርን ይንኩ። የ Timeing Analyzer ሪፖርቶች ማጠናቀር ሲጠናቀቅ በራስ ሰር ይከፈታል። ለጊዜው ተንታኙን መዝጋት ይችላሉ።

1.5.2. ደረጃ 2: የንድፍ ክፍልፋዮችን ይፍጠሩ
በከፊል እንደገና ማዋቀር ለሚፈልጉት ለእያንዳንዱ ክልል የንድፍ ክፍልፋዮችን ይፍጠሩ። በፕሮጀክትዎ ውስጥ ማንኛውንም ገለልተኛ ክፍልፋዮችን ወይም የህዝብ ግንኙነት ክልሎችን መፍጠር ይችላሉ። ለ u_blinking_led ምሳሌ እንደ PR ክፍልፍል እና የ u_top_counter ምሳሌ እንደ SUPR ክልል ለመፍጠር እነዚህን ደረጃዎች ይከተሉ፡

  1. በፕሮጀክት ዳሳሽ ውስጥ የ u_blinking_led ምሳሌን በቀኝ ጠቅ ያድርጉ እና ዲዛይን ክፍልፍልን ጠቅ ያድርጉ
    ➤ እንደገና ሊዋቀር የሚችል። እንደ ክፋይ ከተዘጋጀው እያንዳንዱ ምሳሌ ቀጥሎ የንድፍ ክፋይ አዶ ይታያል።
    ምስል 4. የንድፍ ክፍልፋዮችን መፍጠርintel Agilex F-Series FPGA ልማት ቦርድ - ክፍልፋዮች
  2. ለ u_top_counter ምሳሌ ክፍልፋይ ለመፍጠር ደረጃ 1 ን ይድገሙ።
  3. ምደባ የሚለውን ጠቅ ያድርጉ ➤ የንድፍ ክፍልፍሎች መስኮት። መስኮቱ በፕሮጀክቱ ውስጥ ያሉትን ሁሉንም የንድፍ ክፍልፋዮች ያሳያል.
    ምስል 5. የንድፍ ክፍልፍሎች መስኮት
    intel Agilex F-Series FPGA ልማት ቦርድ - መስኮት
  4. ወደ pr_partition ለመሰየም ብልጭ ድርግም የሚመራውን ክፍልፍል ስም ሕዋስ ሁለቴ ጠቅ ያድርጉ። በተመሳሳይ፣ የላይኛው_ቆጣሪ ክፍልፍልን ወደ supr_partition ይሰይሙ።
    በአማራጭ፣ የሚከተሉትን መስመሮች ወደ blinking_led.qsf ማከል እነዚህን ክፍልፋዮች ይፈጥራል፡-
    የዝግጅት_መመደብ -ስም PARTITION pr_partition \ -ወደ u_ብልጭልጭ_የሚመራ -የህጋዊ አካል አናት
    የዝግጅት_መመደብ -ስም PARTIAL_RECONFIGURATION_PARTITION በርቷል \ -ወደ u_ብልጭልጭ_የሚመራ -የማንነት ከፍተኛ
    የዝግጅት_ድርጊት -ስም PARTITION ሱፐር_ክፍል \ -ወደ u_ላይ_ቆጣሪ -ኢንቲቲ ከፍተኛ
    የዝግጅት_መመደብ -ስም PARTIAL_RECONFIGURATION_PARTITION በርቷል \ -ወደ u_ከላይ_ቆጣሪ -የህጋዊ አካል አናት

1.5.3. ደረጃ 3፡ ምደባ እና ማዘዋወር ክልሎችን መድብ
ለፈጠሩት እያንዳንዱ የመሠረት ክለሳ፣ ኮምፕሌተሩ በተያዘው ክልል ውስጥ ተዛማጅ የሆነውን የግለሰቦችን ኮር ለማስቀመጥ የPR ክፍልፍል ክልል ድልድልን ይጠቀማል። ለመሠረታዊ ክለሳዎ በመሣሪያው ወለል ፕላን ውስጥ የPR ክልል ለማግኘት እና ለመመደብ እነዚህን ደረጃዎች ይከተሉ፡

  1. በProject Navigator Hierarchy ትር ውስጥ u_blinking_led ምሳሌውን በቀኝ ጠቅ ያድርጉ እና በመቀጠል Logic Lock Region ➤ አዲስ የሎጂክ መቆለፊያ ክልል ፍጠር የሚለውን ጠቅ ያድርጉ። ክልሉ በ Logic Lock Regions መስኮት ውስጥ ይታያል።
  2. የ 5 ስፋት እና የ 5 ቁመት ይግለጹ።
  3. በመነሻ ዓምድ ውስጥ ለ u_blinking_led የምደባ ክልል መጋጠሚያዎችን ይግለጹ። መነሻው ከክልሉ ታችኛው ግራ ጥግ ጋር ይዛመዳል. መነሻውን እንደ X166_Y199 ይግለጹ። አቀናባሪው (X170 Y203) እንደ የላይኛው ቀኝ መጋጠሚያ ያሰላል።
  4. ለክልሉ የተያዙ እና ዋና-ብቻ አማራጮችን አንቃ።
  5. የራውቲንግ ክልል አማራጭን ሁለቴ ጠቅ ያድርጉ። Logic Lock Routing Region Settings የንግግር ሳጥን ይታያል።
  6. ለመዘዋወር አይነት፣ Fixed with expansion የሚለውን ይምረጡ። ይህ አማራጭ የአንድን የማስፋፊያ ርዝመት በራስ-ሰር ይመድባል።
  7. ለ u_top_counter ክፍልፍል የሚከተሉትን መገልገያዎች ለመመደብ የቀደሙትን ደረጃዎች ይድገሙ።
    • ቁመት—5
    • ስፋት—5
    • አመጣጥ-X173_Y199
    • የመሄጃ ክልል- ከአንድ የማስፋፊያ ርዝመት ጋር ተስተካክሏል።
    • የተያዘ—በርቷል።
    • ኮር-ብቻ-በርቷል።
    ምስል 6. የሎጂክ መቆለፊያ ክልሎች መስኮት
    intel Agilex F-Series FPGA ልማት ቦርድ - ክልሎች መስኮት
    ማስታወሻ፡- ለኮምፕለር ማዞሪያ s ተጨማሪ ተለዋዋጭነት ለመስጠት የማዞሪያው ክልል ከምደባው ክልል የበለጠ መሆን አለበት።tagሠ፣ ማጠናቀቂያው የተለያዩ ሰዎችን ሲመራ።
  8. የእርስዎ ምደባ ክልል ብልጭ ድርግም የሚሉ አመክንዮዎችን ማካተት አለበት። በቺፕ ፕላነር ውስጥ ያለውን መስቀለኛ መንገድ በማግኘት የምደባ ክልሉን ለመምረጥ በሎጂክ ሎክ ክልሎች መስኮት ውስጥ u_blinking_led የክልል ስምን በቀኝ ጠቅ ያድርጉ እና በመቀጠል Locate Node ➤ በቺፕ ፕላነር ውስጥ የሚገኝን የሚለውን ጠቅ ያድርጉ።
  9.  በክፋይ ሪፖርቶች ስር፣ የንድፍ ክፍልፍሎችን ሪፖርት አድርግ ድርብ ጠቅ አድርግ። ቺፕ ፕላነር ክልሉን ያደምቃል እና ቀለም ይሰይማል።

ምስል 7. ቺፕ ፕላነር መስቀለኛ መንገድ ለ blinking_led
intel Agilex F-Series FPGA ልማት ቦርድ -blinking_ledበአማራጭ፣ የሚከተሉትን መስመሮች ወደ blinking_led.qsf ማከል እነዚህን ክልሎች ይፈጥራል፡-
set_intance_assignment -ስም PARTITION pr_partition -ወደ \ u_ብልጭልጭ_የሚመራ - አካል ከላይ
የዝግጅት_መመደብ -ስም PARTIAL_RECONFIGURATION_PARTITION በርቷል \ -ወደ u_ብልጭልጭ_የሚመራ -የማንነት ከፍተኛ
የዝግጅት_መመደብ -ስም PARTITION supr_partition -ወደ u_top_counter \ - አካል ከላይ
የዝግጅት_መመደብ -ስም PARTIAL_RECONFIGURATION_PARTITION በርቷል -ወደ \ u_ከላይ_ቆጣሪ -የማንነት ከፍተኛ
የዝግጅት_መመደብ -ስም PLACE_REGION "X166 Y199 X170 Y203" -ወደ \ u_ብልጭልጭ_መሪ
የዝግጅት_መመደብ -ስም RESERVE_PLACE_REGION በርቷል - ወደ ብልጭ ድርግም_የሚመራ
የዝግጅት_መመደብ -ስም CORE_ONLY_PLACE_REGION በርቷል - ወደ ብልጭ ድርግም_የሚመራ
የዝግጅት_መመደብ -ስም REGION_NAME pr_partition -ወደ u_blinking_led
የዝግጅት_መመደብ -ስም ROUTE_REGION "X165 Y198 X171 Y204" -ወደ \ u_ብልጭልጭ_መሪ
የዝግጅት_መመደብ -ስም RESERVE_ROUTE_REGION ጠፍቷል - ወደ ብልጭ ድርግም_የሚመራ
የዝግጅት_መመደብ -ስም PLACE_REGION "X173 Y199 X177 Y203" - ወደ \ u_top_counter
የዝግጅት_መመደብ -ስም RESERVE_PLACE_REGION በርቷል - ወደላይ_ላይ_ቆጣሪ
የዝግጅት_መመደብ -ስም CORE_ONLY_PLACE_REGION በርቷል - ወደላይ_ላይ_ቆጣሪ
የዝግጅት_መመደብ -ስም REGION_NAME supr_partition - ወደ u_top_counter
የዝግጅት_መመደብ -ስም ROUTE_REGION "X172 Y198 X178 Y204" - ወደ \ u_top_counter
የዝግጅት_መመደብ -ስም RESERVE_ROUTE_REGION ጠፍቷል - ለላይ_ቆጣሪ
1.5.4. ደረጃ 4፡ ሰዎችን ይግለጹ

ይህ የማመሳከሪያ ንድፍ ለነጠላ PR ክፍልፍል ሦስት የተለያዩ ግለሰቦችን እና አንድ SUPR ሰው ለ SUPR ክልል ይገልጻል። እነዚህን ሰዎች ለመወሰን እና በፕሮጀክትዎ ውስጥ ለማካተት እነዚህን ደረጃዎች ይከተሉ። የIntel Quartus Prime ጽሑፍ አርታዒን የምትጠቀም ከሆነ አክልን አሰናክል file
ወደ የአሁኑ ፕሮጀክት በሚቆጥቡበት ጊዜ files.

  1. አዲስ blinking_led_slow.sv፣ blinking_led_empty.sv እና top_counter_fast.sv SystemVerilog ይፍጠሩ fileበእርስዎ የስራ ማውጫ ውስጥ። blinking_led.sv አስቀድሞ በስራ ማውጫው ውስጥ እንዳለ ያረጋግጡ።
  2.  ለSystemVerilog የሚከተሉትን ይዘቶች ያስገቡ files:
    ሠንጠረዥ 2. የማጣቀሻ ንድፍ Personas SystemVerilog
    File ስም መግለጫ ኮድ
    ብልጭ ድርግም_የሚመራ_ቀርፋፋ። sv ኤልኢዲዎች ቀስ ብለው ብልጭ ድርግም ይላሉ የጊዜ መለኪያ 1 ps / 1 ps 'default_nettype ምንም
    ሞጁል ብልጭ ድርግም_led_slow // ሰዓት
    የግቤት ሽቦ ሰዓት፣ የግቤት ሽቦ ዳግም ማስጀመር፣ የግቤት ሽቦ [31፡01 ቆጣሪ፣
    // የ LEDs የውጤት ሽቦ led_two_on የመቆጣጠሪያ ምልክቶች፣
    የውጤት ሽቦ led_three_በ localparam COUNTER_TAP = 27;
    reg መር_ሁለት_ላይ_r; እግር_በሶስት_ላይ_አር; መምራት_ሁለት_ላይ = ሁለት_ላይ_ላይ መመደብ; መር_ሶስት_ላይ = መሪ_ሶስት_ላይ_ር; ሁልጊዜ_ff @(የፖስታ ሰዓት) led_two_on_r ጀምር <= ቆጣሪ[COUNTER_TAP]; መር_ሶስት_ላይ_r <= ቆጣሪ[COUNTER_TAP]; መጨረሻ endmodule
    ብልጭ ድርግም_የሚመራ_ባዶ። sv LEDs በርተዋል። የጊዜ መለኪያ 1 ps / 1 ps 'default_nettype ምንም ሞጁል ብልጭልጭ_ሊድ_ባዶ(// የሰዓት ግብዓት ሽቦ ሰዓት፣ የግቤት ሽቦ ዳግም ማስጀመር፣ የግቤት ሽቦ [31:01 ቆጣሪ፣ // የ LEC የመቆጣጠሪያ ምልክቶች- የውጤት ሽቦ led_two_on፣ የውጤት ሽቦ led_three_on
    ቀጠለ…
    File ስም መግለጫ ኮድ
    // LED ገባሪ ነው ዝቅተኛ ምደባ led_two_on = l'IDO; መሪ_ሶስት_ላይ = 11b0 መድብ; endmodule
    ከፍተኛ_ቆጣሪ_ፈጣን.sv ሁለተኛ SUPR 'የጊዜ መለኪያ 1 ፕ / 1 ፒ
    ሰው Thdefault_nettype ምንም ሞጁል ከፍተኛ_ቆጣሪ_ፈጣን።
    // የ LEDs የውጤት ሽቦ led_one_on፣ የውጤት ሽቦ [31:0] ቆጠራ፣ // የሰዓት ግቤት ሽቦ ሰዓት የመቆጣጠሪያ ምልክቶች
    ) ; localparam COUNTER TAP = 23; reg [31:0] ቆጠራ_d; መድብ ቆጠራ = count_d; led_one_on = ount_d [COUNTER_TAP]; ምንጊዜም_ff @(የፖስታ ሰዓት) ጀምር count_d <= count_d + 2; መጨረሻ
    .: ሞዱል
  3.  ጠቅ ያድርጉ File ➤ አስቀምጥ እና .sv ን ያስቀምጡ fileአሁን ባለው የፕሮጀክት ማውጫ ውስጥ.

1.5.5. ደረጃ 5፡ ክለሳዎችን ይፍጠሩ
የ PR ንድፍ ፍሰት የፕሮጀክት ማሻሻያ ባህሪን በ Intel Quartus Prime ሶፍትዌር ውስጥ ይጠቀማል። የመጀመሪያ ንድፍዎ በFPGA ላይ የማይንቀሳቀሱ የክልል ድንበሮችን እና እንደገና ሊዋቀሩ የሚችሉ ክልሎችን የሚገልጹበት የመሠረት ክለሳ ነው። ከመሠረታዊ ክለሳ, ተጨማሪ ክለሳዎችን ይፈጥራሉ. እነዚህ ክለሳዎች ለ PR ክልሎች የተለያዩ ትግበራዎችን ይይዛሉ። ነገር ግን፣ ሁሉም የPR ትግበራ ክለሳዎች ከመሠረታዊ ክለሳ የተገኙ ተመሳሳይ የከፍተኛ ደረጃ ምደባ እና የማዞሪያ ውጤቶችን ይጠቀማሉ። የPR ንድፍ ለማጠናቀር፣ ለእያንዳንዱ ሰው የPR ትግበራ ክለሳ ይፈጥራሉ። በተጨማሪም፣ ለእያንዳንዱ ማሻሻያ ከፊል መልሶ ማዋቀር - ቤዝ ወይም ከፊል መልሶ ማዋቀር - Persona ትግበራ ማሻሻያ አይነት መመደብ አለቦት። የሚከተለው ሠንጠረዥ የእያንዳንዱን ማሻሻያ ስም እና የክለሳ አይነት ይዘረዝራል። የ impl_blinking_led_supr_new.qsf ክለሳ የ SUPR ሰው ትግበራ ነው።
ሠንጠረዥ 3. የክለሳ ስሞች እና ዓይነቶች

የክለሳ ስም የክለሳ አይነት
ብልጭልጭ_መሪ ከፊል መልሶ ማዋቀር - መሠረት
ብልጭ ድርግም_የሚመራ_ነባሪ ከፊል ዳግም ማዋቀር - Persona ትግበራ
ብልጭ ድርግም_የሚመራ_ቀርፋፋ ከፊል ዳግም ማዋቀር - Persona ትግበራ
ብልጭ ድርግም_የሚመራ_ባዶ ከፊል ዳግም ማዋቀር - Persona ትግበራ
impl_Blining_led_supr_አዲስ ከፊል ዳግም ማዋቀር - Persona ትግበራ

1.5.5.1. የመሠረት ክለሳን በማዘጋጀት ላይ
blinking_led እንደ መሰረታዊ ክለሳ ለማዘጋጀት እነዚህን ደረጃዎች ይከተሉ፡-

  1. የፕሮጀክት ክለሳዎችን ጠቅ ያድርጉ።
  2. ለክለሳ አይነት፣ ከፊል ዳግም ማዋቀር - ቤዝ የሚለውን ይምረጡ።

intel Agilex F-Series FPGA ልማት ቦርድ - ክለሳዎችይህ እርምጃ የሚከተለውን ወደ blinking_led.qsf ያክላል፡-
## ብልጭልጭ_ሊድ.qsf አዘጋጅ_አለምአቀፍ_መመደብ -ስም REVISION_TYPE PR_BASE
1.5.5.2. የአተገባበር ክለሳዎችን መፍጠር
የትግበራ ማሻሻያዎችን ለመፍጠር እነዚህን ደረጃዎች ይከተሉ።

  1. በክለሳዎች የንግግር ሳጥን ውስጥ <<>ን ሁለቴ ጠቅ ያድርጉ >።
  2. በክለሳ ስም፣ blinking_led_default ይጥቀሱ እና በክለሳ ላይ በመመስረት blinking_led የሚለውን ይምረጡ።
  3. ለክለሳ አይነት፣ ከፊል ዳግም ማዋቀር - Persona ትግበራን ይምረጡ።
  4. አዘጋጅን እንደ የአሁኑ የክለሳ አማራጭ አሰናክል።
  5. ለሌሎቹ የአተገባበር ክለሳዎች የማሻሻያ አይነት ለማዘጋጀት ከደረጃ 2 እስከ 5 መድገም፡-
የክለሳ ስም የክለሳ አይነት Revisio ላይ የተመሠረተn
ብልጭ ድርግም_የሚመራ_ቀርፋፋ ከፊል ዳግም ማዋቀር - Persona ትግበራ ብልጭልጭ_መሪ
ብልጭ ድርግም_የሚመራ_ባዶ ከፊል ዳግም ማዋቀር - Persona ትግበራ ብልጭልጭ_መሪ
impl_Blining_led_supr_አዲስ ከፊል ዳግም ማዋቀር - Persona ትግበራ ብልጭልጭ_መሪ

ምስል 8. የአተገባበር ክለሳዎችን መፍጠር

intel Agilex F-Series FPGA ልማት ቦርድ - የትግበራ ክለሳዎችእያንዳንዱ .qsf file አሁን የሚከተለውን ተግባር ይዟል፡-
ዓለም አቀፋዊ_መመደብ -ስም REVISION_TYPE PR_IMPL
የዝግጅት_መመደብ -ስም ENTITY_REBINDING የቦታ_ያዥ -ወደላይ_ላይ_ቆጣሪ
የዝግጅት_መመደብ -ስም ENTITY_REBINDING የቦታ ባለቤት -ወደ ብልጭ ድርግም_የሚመራ
1.5.6. ደረጃ 6፡ የመሠረት ማሻሻያውን አጠናቅቅ
መሰረታዊ ክለሳውን ለማጠናቀር እና ቋሚ እና SUPR ክልሎችን ወደ ውጭ ለመላክ ለአዳዲስ የህዝብ ግንኙነት ሰዎች ለትግበራ ክለሳዎች እነዚህን ደረጃዎች ይከተሉ።

  1. አስቀድሞ ካልተዋቀረ ብልጭ ድርግም_የሚመራን እንደ የአሁኑ ክለሳ ያዘጋጁ።
  2. በንድፍ ክፍልፍሎች መስኮት ከሩቅ የቀኝ አምድ አጠገብ ያለውን (…) ጠቅ ያድርጉ እና የድህረ የመጨረሻ ወደ ውጭ መላክን አንቃ። File አምድ. እንዲሁም የአምዶችን ቅደም ተከተል ማሰናከል ወይም መቀየር ይችላሉ.
  3. ከእያንዳንዱ ጥንቅር በኋላ የመጨረሻውን የ PR ትግበራ ንድፍ ክፍልፋዮችን ቅጽበታዊ ገጽ እይታ ወደ ውጭ ለመላክ ለድህረ የመጨረሻ ወደ ውጭ መላክ የሚከተሉትን ይጥቀሱ File ለ root እና SUPR ክፍልፋዮች አማራጮች. የ .qdb fileበነባሪ ወደ የፕሮጀክት ማውጫው መላክ።
    • ስርወ_ክፍልፋይ—ብልጭልጭ_ሊድ_static.qdb
    • supr_partition— ብልጭልጭ_መሪ_ሱፐር_ክፍልፋይ_final.qdb
    ምስል 9. በንድፍ ክፍልፍሎች መስኮት ውስጥ በራስ-ሰር ወደ ውጭ መላክintel Agilex F-Series FPGA ልማት ቦርድ - ክፍልፍሎች መስኮትበአማራጭ፣ የሚከተሉት የ.qsf ምደባዎች ከእያንዳንዱ ከተጠናቀረ በኋላ ክፍሎቹን በራስ-ሰር ወደ ውጭ ይላካሉ፡
    set_intance_assignment -ስም EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -ወደ | - አካል የላይኛው
    set_intance_assignment -ስም EXPORT_PARTITION_SNAPSHOT_FINAL \ ብልጭልጭ_ሊድ_supr_partition_final.qdb -ወደ u_ላይ_ቆጣሪ \ -የሰውነት ከላይ
  4. ብልጭ ድርግም የሚሉ መሰረታዊ ክለሳዎችን ለማጠናቀር፣ በመስራት ላይ ➤ ጀምርን ጠቅ ያድርጉ
    ማጠናቀር። በአማራጭ፣ ይህንን ክለሳ ለማጠናቀር የሚከተለውን ትዕዛዝ መጠቀም ይችላሉ።
    quartus_sh –flow compile blinking_led -c blinking_led ከተሳካ ጥንቅር በኋላ የሚከተለው fileበፕሮጀክት ማውጫ ውስጥ ይታያል፡-
    • ብልጭልጭ_ሊድ.ሶፍ
    • blinking_led.pr_partition.rbf
    • blinking_led.supr_partition.rbf
    • ብልጭልጭ_led_static.qdb
    • ብልጭልጭ_ሊድ_ሱፐር_ክፍልፋይ_final.qdb

1.5.7. ደረጃ 7፡ የPR ትግበራ ክለሳዎችን ያዋቅሩ
ለመሣሪያ ፕሮግራሚንግ የ PR ቢት ዥረት ማመንጨት ከመቻልዎ በፊት የPR ትግበራ ክለሳዎችን ማዘጋጀት አለብዎት። ይህ ቅንብር የማይንቀሳቀስ ክልል .qdb ማከልን ያካትታል file እንደ ምንጭ file ለእያንዳንዱ የትግበራ ክለሳ. በተጨማሪም, እርስዎ መጥቀስ አለብዎት
የ PR ክልል ተጓዳኝ አካል. የPR ትግበራ ክለሳዎችን ለማዘጋጀት እነዚህን ደረጃዎች ይከተሉ፡-

  1.  የአሁኑን ክለሳ ለማዘጋጀት ፕሮጀክት ➤ ክለሳዎች የሚለውን ጠቅ ያድርጉ፣ blinking_led_default እንደ የክለሳ ስም ይምረጡ እና በመቀጠል የአሁኑን አዘጋጅ የሚለውን ጠቅ ያድርጉ። በአማራጭ፣ በዋናው ኢንቴል ኳርትስ ፕራይም የመሳሪያ አሞሌ ላይ የአሁኑን ክለሳ መምረጥ ይችላሉ።
  2. ለዚህ የትግበራ ማሻሻያ ትክክለኛውን ምንጭ ለማረጋገጥ ፕሮጀክት ➤ ጨምር/አስወግድ የሚለውን ጠቅ ያድርጉ Fileበፕሮጀክት ውስጥ s. blinking_led.sv መሆኑን ያረጋግጡ file ውስጥ ይታያል file ዝርዝር.intel Agilex F-Series FPGA ልማት ቦርድ - ክፍልፍሎች መስኮት 1
  3. ትክክለኛውን ምንጭ ለማረጋገጥ file ለትግበራው ማሻሻያ ፕሮጀክት ➤ አክል/አስወግድ የሚለውን ይንኩ። fileበፕሮጀክት ውስጥ s, እና የሚከተለውን ምንጭ ያክሉ fileለ ትግበራ ክለሳዎች. ካለ፣ blinking_led.svን ከፕሮጀክት ዝርዝር ውስጥ ያስወግዱ files.
    Iአተገባበር የክለሳ ስም ምንጭ File
    ብልጭ ድርግም_የሚመራ_ባዶ ብልጭልጭ_ሊድ_empty.sv
    ብልጭ ድርግም_የሚመራ_ቀርፋፋ ብልጭልጭ_ሊድ_slow.sv
  4. ብልጭልጭ_ሊድ_ነባሪ እንደ የአሁኑ ክለሳ ያዘጋጁ።
  5. .qdbን ለመጥቀስ file የ root_partition ምንጭ እንደመሆኖ፣ Assignments ➤ የንድፍ ክፍልፍሎች መስኮትን ጠቅ ያድርጉ። ክፍልፍል ዳታቤዝ ሁለቴ ጠቅ ያድርጉ File ሕዋስ እና blinking_led_static.qdb ይጥቀሱ file.
  6. በተመሳሳይ፣ blinking_led_supr_partition_final.qdb እንደ ክፍልፍል ዳታቤዝ ይጥቀሱ File ለሱፐር_ክፍልፍል.

    ምስል 10.intel Agilex F-Series FPGA ልማት ቦርድ - ይግለጹበአማራጭ፣ .qdbን ለመጥቀስ የሚከተሉትን የ.qsf ስራዎች ይጠቀሙ፡-
    የዝግጅት_አወሳሰን_ስም QDB_FILE_PARTITION \ blinking_led_static.qdb -ወደ |
    የዝግጅት_አወሳሰን_ስም QDB_FILE_PARTITION \ ብልጭልጭ_የሚመራ_supr_partition_final.qdb -ወደ u_top_counter

  7. በንድፍ ክፍልፍሎች መስኮት ከሩቅ የቀኝ አምድ አጠገብ ያለውን (…) ን ጠቅ ያድርጉ እና የህጋዊ አካል መልሶ ማሰርን አምድ ያንቁ።
  8.  በህጋዊ አካል ዳግም ማሰሪያ ሕዋስ ውስጥ አሁን ባለው የትግበራ ክለሳ ላይ እየቀየሩት ላለው የPR ክፍልፍል አዲሱን የህጋዊ አካል ስም ይጥቀሱ። ለብልጭልጭ_ሊድ_ነባሪ የትግበራ ክለሳ፣ የህጋዊ አካል ስም ብልጭ ድርግም የሚል ነው። በዚህ አጋጣሚ የ u_blinking_led ምሳሌውን ከመሠረታዊ ክለሳ ማጠናቀር ላይ በአዲሱ አካል ብልጭ ድርግም የሚመራውን እየገለባበጥከው ነው። ለሌሎች የትግበራ ክለሳዎች፣ የሚከተለውን ሰንጠረዥ ይመልከቱ፡-

    ክለሳ የህጋዊ አካል እንደገና ማሰር እሴት
    ብልጭ ድርግም_የሚመራ_ቀርፋፋ ብልጭ ድርግም_የሚመራ_ቀርፋፋ
    ብልጭ ድርግም_የሚመራ_ባዶ ብልጭ ድርግም_የሚመራ_ባዶ

    ምስል 11. የህጋዊ አካል መልሶ ማቋቋምintel Agilex F-Series FPGA ልማት ቦርድ - ማደስበአማራጭ፣ ምደባዎቹን ለማዘጋጀት የሚከተሉትን መስመሮች በእያንዳንዱ የክለሳ .qsf መጠቀም ይችላሉ።
    ## ብልጭልጭ_መሪ_ነባሪ.qsf
    የዝግጅት_መመደብ -ስም ENTITY_ዳግም ብልጭ ድርግም የሚመራ \ -ወደ u_ብልጭልጭ_የሚመራ
    ## ብልጭልጭ_መሪ_ቀርፋፋ.qsf
    የዝግጅት_መመደብ -ስም ENTITY_ዳግም ብልጭ ድርግም_የሚመራ_ቀርፋፋ \-ወደ ብልጭ ድርግም_የሚመራ
    ## ብልጭልጭ_መሪ_ባዶ.qsf
    የዝግጅት_መመደብ -ስም ENTITY_ዳግም ብልጭ ድርግም_የሚመራ_ባዶ \ -ወደ ብልጭ ድርግም_የሚመራ

  9. የቦታ ያዢውን ጽሑፍ ከህጋዊ አካል መልሶ ማሰር ለ supr_partition ይሰርዙ።
  10. ንድፉን ለማጠናቀር፣ ፕሮሰሲንግ ➤ ጀምር ማሰባሰብን ይንኩ። በአማራጭ፣ ይህንን ፕሮጀክት ለማጠናቀር የሚከተለውን ትዕዛዝ ይጠቀሙ፡ quartus_sh –flow compile blinking_led –c blinking_led_default
  11. ብልጭ ድርግም የሚሉ_ቀስ ያሉ እና ብልጭ ድርግም የሚሉ_ባዶ የትግበራ ክለሳዎችን ለማዘጋጀት እና ለማጠናቀር ከደረጃ 4 እስከ 11 ይድገሙ።

1.5.8. ደረጃ 8፡ የ SUPR አመክንዮ ለውጥ
በ SUPR ክፍልፍል ውስጥ ያለውን የሎጂክ ተግባራዊነት ለመለወጥ የ SUPR ክፋይ ምንጭን መቀየር አለብዎት። በSUPR ክፍልፍል ውስጥ ያለውን የ u_top_counter ምሳሌ በከፍተኛ_ቆጣሪ_ፈጣን አካል ለመተካት የሚከተሉትን ደረጃዎች ያጠናቅቁ።

  1. የSUPR አተገባበር ክለሳን እንደ ወቅታዊ ለማቀናበር ፕሮጀክት ➤ ክለሳዎች የሚለውን ጠቅ ያድርጉ እና impl_blinking_led_supr_newን እንደ የአሁኑ ክለሳ ያዘጋጁ ወይም ይምረጡ
    በ Intel Quartus Prime ዋና የመሳሪያ አሞሌ ላይ ክለሳ።
  2. ትክክለኛውን ምንጭ ለማረጋገጥ file ለትግበራ ማሻሻያ ፕሮጀክት ➤ የሚለውን ይጫኑ
    አክል/አስወግድ fileበፕሮጀክት ውስጥ ነው፣ እና top_counter_fast.sv የ impl_blinking_led_supr_አዲስ የትግበራ ክለሳ ምንጭ መሆኑን ያረጋግጡ። ካለ፣ top_counter.svን ከፕሮጀክት ዝርዝር ውስጥ ያስወግዱ files.intel Agilex F-Series FPGA ልማት ቦርድ - ምደባዎች
  3. .qdbን ለመጥቀስ file ከስር ክፋይ ጋር ተያይዞ፣ Assignments ➤ የንድፍ ክፍልፍሎች መስኮትን ጠቅ ያድርጉ እና ከዚያ ክፍልፋይ ዳታቤዝ ሁለቴ ጠቅ ያድርጉ። File blinking_led_static.qdbን ለመለየት ሕዋስ።
    በአማራጭ፣ ይህንን ለመመደብ የሚከተለውን ትዕዛዝ ይጠቀሙ fileየዝግጅት_ጊዜ_ስም -ስም QDB_FILE_PARTITION \ blinking_led_static.qdb -ወደ |
  4. ለpr_partition በህጋዊ አካል ዳግም ማሰርያ ክፍል ውስጥ ተገቢውን የህጋዊ አካል ስም ይጥቀሱ። ለዚህ የቀድሞample፣ ብልጭልጭ_የሚመራ_ባዶ አካልን ይግለጹ። በዚህ አጋጣሚ የ u_blinking_led ምሳሌውን ከመሠረታዊ ክለሳ ማጠናቀር ከአዲሱ አካል አገናኝ_ሊድ_ባዶ እየፃፉት ነው። የሚከተለው መስመር አሁን በ.qsf ውስጥ አለ፡-
    ##ipl_blinking_led_supr_new.qsf አዘጋጅ_አምሳያ_ስም ENTITY_እንደገና ብልጭ ድርግም_የሚመራ_ባዶ \ -ወደ ብልጭ ድርግም_የሚመራ
  5. ለ supr_partition በህጋዊ አካል ዳግም ማሰር ሴል ውስጥ ከፍተኛውን_ቆጣሪ_ፈጣን አካል ይጥቀሱ። ከፍተኛ_ቆጣሪ_ፈጣን SUPRን ሲያጠናቅቁ u_top_counterን የሚተካ የማይንቀሳቀስ አካል ስም ነው።intel Agilex F-Series FPGA ልማት ቦርድ - SUPR##impl_Blinking_led_supr_new.qsf አዘጋጅ_አምሳያ_ስም ENTITY_የላይ_ቆጣሪ_ፈጣን_ማደስ \ -ከላይ_ቆጣሪ ጋር
  6. ንድፉን ለማጠናቀር፣ ፕሮሰሲንግ ➤ ጀምር ማሰባሰብን ይንኩ። በአማራጭ፣ ይህንን የፕሮጀክት ክለሳ ለማጠናቀር የሚከተለውን ትዕዛዝ ይጠቀሙ፡ quartus_sh –flow compile blinking_led –c \ impl_blinking_led_supr_new

1.5.9. ደረጃ 9፡ ቦርዱን ፕሮግራም አድርግ
የIntel Agilex F-Series FPGA ልማት ቦርድን ለማገናኘት እና ለማቀድ እነዚህን ደረጃዎች ይከተሉ።

  1. የኃይል አቅርቦቱን ከ Intel Agilex F-Series FPGA ልማት ቦርድ ጋር ያገናኙ።
  2. በእርስዎ ፒሲ የዩኤስቢ ወደብ እና በልማት ሰሌዳው ላይ ባለው የዩኤስቢ ፕሮግራሚንግ ሃርድዌር መካከል የዩኤስቢ ገመድ ያገናኙ።
  3. የኢንቴል ኳርተስ ፕራይም ሶፍትዌርን ይክፈቱ እና ከዚያ Tools ➤ ፕሮግራመርን ጠቅ ያድርጉ። የልማት ቦርድ ፕሮግራሚንግ የሚለውን ተመልከት።
  4. በፕሮግራመር ውስጥ የሃርድዌር ማዋቀርን ጠቅ ያድርጉ እና ከዚያ USB-Blasterን ይምረጡ።
  5. ራስ-አወቅን ጠቅ ያድርጉ እና ከዚያ የ AGFB014R24B መሣሪያን ይምረጡ።
  6.  እሺን ጠቅ ያድርጉ። የኢንቴል ኳርተስ ፕራይም ሶፍትዌር ፕሮግራመርን በቦርዱ ላይ ባሉት ሶስት የFPGA መሳሪያዎች ፈልጎ ያዘምናል።
  7.  የ AGFB014R24B መሣሪያን ይምረጡ፣ ለውጥን ጠቅ ያድርጉ File፣ እና blinking_led_default.sof ን ይጫኑ file.
  8. ለ blinking_led_default.sof ፕሮግራምን አንቃ/አዋቅር file.
  9. ጀምርን ጠቅ ያድርጉ እና የሂደቱ አሞሌ 100% እስኪደርስ ይጠብቁ።
  10.  በቦርዱ ላይ ያሉትን ኤልኢዲዎች ብልጭ ድርግም ብለው ይመልከቱ።
  11. የPR ክልልን ብቻ ፕሮግራም ለማድረግ blinking_led_default.sof በቀኝ ጠቅ ያድርጉ file በፕሮግራመር ውስጥ እና የ PR ፕሮግራሚንግ አክል የሚለውን ጠቅ ያድርጉ File. blinking_led_slow.pr_partition.rbf ይምረጡ file.
  12. ለ blinking_led_default.sof ፕሮግራምን አሰናክል/አዋቅር file.
  13.  ለ blinking_led_slow.pr_partition.rbf ፕሮግራምን አንቃ/አዋቅር file, እና ከዚያ ጀምርን ጠቅ ያድርጉ. በቦርዱ ላይ፣ ኤልኢዲ [0] እና ኤልኢዲ [1] ብልጭ ድርግም እያሉ ሲቀጥሉ ይመልከቱ። የሂደት አሞሌው 100% ሲደርስ LED[2] እና LED[3] ቀስ ብለው ብልጭ ድርግም ይላሉ።
  14. የPR ክልልን እንደገና ለማደራጀት፣ .rbf ን በቀኝ ጠቅ ያድርጉ file በፕሮግራመር ውስጥ እና ከዚያ PR Programing ቀይር የሚለውን ጠቅ ያድርጉ File.
  15.  rbf ን ይምረጡ fileለሌሎቹ ሁለት ሰዎች በቦርዱ ላይ ያለውን ባህሪ እንዲመለከቱ. blinking_led_default.pr_partition.rbfን በመጫን ላይ file ኤልኢዲዎች በመጀመሪያው ድግግሞሽ ብልጭ ድርግም ይላሉ፣ እና blinking_led_empty.pr_partition.rbfን ይጭናል file LEDs እንዲበሩ ያደርጋል። 17. የ SUPR አመክንዮ ለመቀየር ከላይ ያለውን ደረጃ 7 ይድገሙት impl_blinking_led_supr_new.sof። ይህንን ከቀየሩ በኋላ file, led [0:1] አሁን ከበፊቱ በበለጠ ፍጥነት ብልጭ ድርግም ይላል። ሌላው PR .rbf files ደግሞ ከአዲሱ .sof ጋር ተኳሃኝ ናቸው.
    ማስታወሻ፡- ሰብሳቢው .rbf ያመነጫል። file ለ SUPR ክልል. ሆኖም ግን, ይህንን መጠቀም የለብዎትም file የ SUPR ክፋይ የፍሪዝ ድልድይን፣ የ PR ክልል ተቆጣጣሪን እና በጠቅላላው ስርዓት ውስጥ ያሉ ሌሎች አመክንዮዎችን በቅጽበት ስለማያደርገው FPGAን በሂደት እንደገና ለማደራጀት ነው። በ SUPR ክፍልፍል አመክንዮ ላይ ለውጦችን ሲያደርጉ ሙሉውን .sof እንደገና ማቀድ አለብዎት file ከ SUPR ትግበራ ማሻሻያ ስብስብ.

ምስል 12. የልማት ቦርድ ፕሮግራም ማውጣት
intel Agilex F-Series FPGA ልማት ቦርድ - ቦርድ1.5.9.1. የPR ፕሮግራሚንግ ስህተቶችን መላ መፈለግ
የIntel Quartus Prime Programmer እና የተገናኘ ሃርድዌርን በትክክል ማዋቀር በPR ፕሮግራሚንግ ወቅት ስህተቶችን ለማስወገድ ይረዳል።
ማንኛቸውም የ PR ፕሮግራሚንግ ስህተቶች ካጋጠሙዎት በIntel Quartus Prime Pro እትም የተጠቃሚ መመሪያ ውስጥ ያለውን "መላ መፈለጊያ PR Programming Errors" የሚለውን ይመልከቱ፡ ደረጃ በደረጃ የመላ መፈለጊያ ምክሮችን ለማግኘት ከፊል ዳግም ማዋቀር።
ተዛማጅ መረጃ

የPR ፕሮግራሚንግ ስህተቶችን መላ መፈለግ

1.5.10. የ SUPR ክፍልፍልን ማስተካከል
ያለውን የ SUPR ክፍልፍል ማሻሻል ይችላሉ። የ SUPR ክፋይን ካሻሻሉ በኋላ, ማጠናቀር አለብዎት, .sof file, እና ቦርዱን ፕሮግራም, ሌሎች ሰዎችን ሳያጠናቅሩ. ለ exampበፍጥነት ለመቁጠር top_counter_fast.sv ሞጁሉን ለመቀየር እነዚህን ደረጃዎች ይከተሉ፡-

  1. impl_blinking_led_supr_new እንደ የአሁኑ ክለሳ ያዘጋጁ።
  2.  በከፍተኛ_ቆጣሪ_ፈጣን.sv file፣ የቁጥር_d + 2 መግለጫውን በ count_d + 4 ይተኩ።
  3.  የ SUPR ብሎክን እንደገና ለማቀናበር እና አዲሱን .sof ለማመንጨት የሚከተሉትን ትዕዛዞች ያሂዱ file: quartus_sh –flow compile blinking_led \ -c impl_blinking_led_supr_new
    የተገኘው .sof አሁን አዲሱን SUPR ክልል ይዟል፣ እና ለነባሪ (የማብራት) ሰው blinking_led ይጠቀማል።

1.6. የሰነድ ማሻሻያ ታሪክ የኤኤን 987፡ የማይንቀሳቀስ ማሻሻያ ከፊል ዳግም ማዋቀር አጋዥ ማሻሻያ ታሪክ

የሰነድ ሥሪት ኢንቴል ኳርትስ ዋና ስሪት ለውጦች
2022.10.24 22. የሰነዱ የመጀመሪያ መለቀቅ።

ለIntel® Quartus®Prime Design Suite ተዘምኗል፡ 22.3

ለከፍተኛ ተደጋጋሚ ጥያቄዎች መልሶች፡-

ግብረ መልስ ላክ

ጥ የማይለዋወጥ ማሻሻያ ከፊል ዳግም ማዋቀር ምንድነው?

የማይንቀሳቀስ ዝመና ከፊል ዳግም ማዋቀር በገጽ 3

ጥ ለዚህ ትምህርት ምን ያስፈልገኛል?

የማጠናከሪያ ትምህርት መስፈርቶች በገጽ 3 ላይ

ጥ የማጣቀሻ ንድፉን ከየት ማግኘት እችላለሁ?

የማውረድ ማጣቀሻ ንድፍ Fileበገጽ 5 ላይ

ጥ የ SUPR ንድፍ እንዴት መፍጠር እችላለሁ?

በገጽ 6 ላይ የማጣቀሻ ንድፍ ጉዞ

ጥ የ PR persona ምንድን ነው?

በገጽ 10 ላይ ሰዎችን ግለጽ

ጥ የ SUPR አመክንዮ እንዴት እለውጣለሁ? በገጽ 16 ላይ የ SUPR አመክንዮ ለውጥ

በገጽ 16 ላይ የ SUPR አመክንዮ ለውጥ

ጥ ሰሌዳውን እንዴት ፕሮግራም አደርጋለሁ?

የቦርዱ ፕሮግራም በገጽ 18 ላይ

ጥ የታወቁት የ PR ጉዳዮች እና ገደቦች ምን ምን ናቸው?

የ Intel FPGA ድጋፍ መድረኮች፡ PR

intel Agilex F-Series FPGA ልማት ቦርድ - አዶ የመስመር ላይ ስሪት
ኢንቴል አጊሊክስ ኤፍ-ተከታታይ FPGA ልማት ቦርድ - አዶ 154 ግብረ መልስ ላክ

መታወቂያ፡ 749443
AN-987
ስሪት: 2022.10.24

ሰነዶች / መርጃዎች

intel Agilex F-Series FPGA ልማት ቦርድ [pdf] የተጠቃሚ መመሪያ
አጊሊክስ ኤፍ-ተከታታይ፣ አጊሊክስ ኤፍ-ተከታታይ FPGA ልማት ቦርድ፣ FPGA ልማት ቦርድ፣ ልማት ቦርድ፣ ቦርድ

ዋቢዎች

አስተያየት ይስጡ

የኢሜል አድራሻዎ አይታተምም። አስፈላጊ መስኮች ምልክት ተደርጎባቸዋል *