ኢንቴል AN 805 በአሪያ 10 ሶሲ ልማት ቦርድ ላይ የንድፍ ከፊል ዳግም ማዋቀር
ለIntel® Arria® 10 የሶሲ ልማት ቦርድ ተዋረዳዊ ከፊል መልሶ ማዋቀር አጋዥ ስልጠና
ይህ የመተግበሪያ ማስታወሻ ቀላል ንድፍን ወደ ተዋረዳዊ በከፊል እንደገና ወደሚዋቀር ንድፍ መለወጥ እና ንድፉን በ Intel® Arria® 10 SoC ልማት ሰሌዳ ላይ መተግበሩን ያሳያል። ተዋረዳዊ ከፊል ዳግም ማዋቀር (HPR) በሌላ የህዝብ ግንኙነት ክልል ውስጥ የPR ክልል የሚይዝበት ልዩ የከፊል መልሶ ማዋቀር (PR) ነው። ለልጁ እና ለወላጆች ክፍልፋዮች ብዙ ሰዎችን መፍጠር ይችላሉ። የልጆቹን ክፍልፋዮች በወላጅ ክፍላቸው ውስጥ አስገብተዋቸዋል። የልጅ ክፍልፍልን እንደገና ማዋቀር በወላጅ ወይም በስታቲስቲክ ክልሎች ውስጥ ያለውን አሠራር አይጎዳውም. የወላጅ ክፋይን እንደገና ማዋቀር በስታቲስቲክ ክልል ውስጥ ያለውን አሠራር አይጎዳውም ነገር ግን የወላጅ ክልልን የልጆች ክፍልፋዮች በነባሪ የልጅ ክፍልፍል ሰዎች ይተካል። ይህ ዘዴ ብዙ ተግባራት ተመሳሳይ የ FPGA መሣሪያ ሀብቶችን በሚጋሩባቸው ስርዓቶች ውስጥ ውጤታማ ነው።
ከፊል መልሶ ማዋቀር ወደ ጠፍጣፋ ንድፍ የሚከተሉትን እድገቶች ይሰጣል።
- የአሂድ ጊዜ ዲዛይን መልሶ ማዋቀርን ይፈቅዳል
- የንድፍ መስፋፋትን ይጨምራል
- የስርዓተ-ፆታ ጊዜን ይቀንሳል
- በንድፍ ውስጥ ተለዋዋጭ ጊዜ-ማባዛት ተግባራትን ይደግፋል
- የቦርድ ቦታን በብቃት በመጠቀም ወጪን እና የኃይል ፍጆታን ይቀንሳል
- ማስታወሻ፡-
- ይህንን የማጣቀሻ ንድፍ ተግባራዊ ለማድረግ ከIntel Quartus® Prime FPGA ትግበራ ፍሰት እና ከዋናው የኢንቴል ኳርትስ ፕራይም ፕሮጄክት ጋር መሰረታዊ እውቀትን ይጠይቃል። files.
ተዛማጅ መረጃ
- Intel Arria 10 የሶሲ ልማት ኪት የተጠቃሚ መመሪያ
- ከፊል መልሶ ማዋቀር ጽንሰ-ሐሳቦች
- ከፊል መልሶ ማዋቀር ንድፍ ፍሰት
- ከፊል ዳግም ማዋቀር ንድፍ ምክሮች
- ከፊል መልሶ ማዋቀር የንድፍ እሳቤዎች
የማጣቀሻ ንድፍ መስፈርቶች
ይህ የማጣቀሻ ንድፍ የሚከተሉትን ያስፈልገዋል.
- Intel Quartus Prime Pro Edition ሶፍትዌር ስሪት 17.1 ለንድፍ አተገባበር።
- Intel Arria 10 SoC ልማት ኪት ለ FPGA ትግበራ።
ኢንቴል ኮርፖሬሽን. መብቱ በህግ የተጠበቀ ነው. ኢንቴል፣ የኢንቴል አርማ እና ሌሎች የኢንቴል ምልክቶች የኢንቴል ኮርፖሬሽን ወይም የስርጭቱ የንግድ ምልክቶች ናቸው። ኢንቴል የኤፍፒጂኤ እና ሴሚኮንዳክተር ምርቶቹን በIntel መደበኛ ዋስትና መሰረት ለአሁኑ ዝርዝር መግለጫዎች ዋስትና ይሰጣል፣ነገር ግን በማናቸውም ምርቶች እና አገልግሎቶች ላይ ያለማሳወቂያ በማንኛውም ጊዜ ለውጦችን የማድረግ መብቱ የተጠበቀ ነው። ኢንቴል በዚህ ውስጥ የተገለጸውን ማንኛውንም መረጃ፣ ምርት ወይም አገልግሎት ከመተግበሩ ወይም ከመጠቀሙ የተነሳ ምንም አይነት ሃላፊነት ወይም ተጠያቂነት አይወስድም። የኢንቴል ደንበኞች በማናቸውም የታተመ መረጃ ላይ ከመታመንዎ በፊት እና ለምርቶች ወይም አገልግሎቶች ትእዛዝ ከማስቀመጥዎ በፊት የቅርብ ጊዜውን የመሳሪያ ዝርዝሮችን እንዲያገኙ ይመከራሉ።
- ሌሎች ስሞች እና የንግድ ምልክቶች እንደ የሌሎች ንብረት ሊጠየቁ ይችላሉ።
የማጣቀሻ ንድፍ በላይview
- ይህ የማጣቀሻ ንድፍ አንድ ባለ 32-ቢት ቆጣሪን ያካትታል። በቦርዱ ደረጃ, ዲዛይኑ ሰዓቱን ከ 50MHz ምንጭ ጋር ያገናኛል እና ውጤቱን በ FPGA ላይ ወደ አራት LEDs ያገናኛል. ውጤቱን ከቆጣሪ ቢትስ በተወሰነ ቅደም ተከተል መምረጥ በተወሰነ ድግግሞሽ ላይ የ LEDs ብልጭ ድርግም ይላል.
ምስል 1. ጠፍጣፋ የማጣቀሻ ንድፍ ያለ PR ክፍልፍል
የማጣቀሻ ንድፍ Files
ከፊል መልሶ ማዋቀር አጋዥ ስልጠና በሚከተለው ቦታ ይገኛል። https://github.com/intel/fpga-partial-reconfig
ትምህርቱን ለማውረድ፡-
- Clone ን ጠቅ ያድርጉ ወይም ያውርዱ።
- ዚፕ አውርድን ጠቅ ያድርጉ። የ FPGA-partial-config-master.zipን ዚፕ ንቀቅ file.
- የማጣቀሻ ንድፉን ለማግኘት ወደ አጋዥ ስልጠናዎች/a10_soc_devkit_blinking_led_hpr ንዑስ አቃፊ ይሂዱ።
የጠፍጣፋው አቃፊ የሚከተሉትን ያካትታል files:
ሠንጠረዥ 1. የማጣቀሻ ንድፍ Files
File ስም | መግለጫ |
ከላይ. ኤስ.ቪ | ከፍተኛ ደረጃ file የንድፍ ጠፍጣፋ አተገባበር ይዟል. ይህ ሞጁል ብልጭልጭ_የሚመራውን ንዑስ ክፍልፋዮችን እና ከፍተኛውን_ቆጣሪ ሞጁሉን ያፋጥናል። |
ከፍተኛ_ቆጣሪ.sv | LED[32]ን በቀጥታ የሚቆጣጠር ከፍተኛ ደረጃ 1-ቢት ቆጣሪ። የተመዘገበው የቆጣሪው ውፅዓት LED[0]ን ይቆጣጠራል፣እንዲሁም LED[2] እና LED[3]ን በብልጭልጭ_ሊድ ሞጁል ያሰራል። |
blinking_led.sdc | የፕሮጀክቱን የጊዜ ገደቦችን ይገልጻል። |
ቀጠለ… |
File ስም | መግለጫ |
ብልጭ ድርግም የሚሉ.ኤስ.ቪ | በዚህ መማሪያ ውስጥ፣ ይህንን ሞጁል ወደ የወላጅ PR ክፍልፍል ይለውጠዋል። ሞጁሉ LED [2] እና LED [3]ን የሚቆጣጠረው የ top_counter ሞጁል የተመዘገበውን ውጤት ይቀበላል። |
ብልጭልጭ_ሊድ.qpf | Intel Quartus Prime ፕሮጀክት file በፕሮጀክቱ ውስጥ ያሉትን ሁሉንም ክለሳዎች ዝርዝር የያዘ. |
ብልጭልጭ_ሊድ.qsf | Intel Quartus Prime ቅንብሮች file የፕሮጀክቱን ስራዎች እና መቼቶች የያዘ. |
ማስታወሻ፡- የ hpr አቃፊ ሙሉውን ስብስብ ይዟል fileይህን የመተግበሪያ ማስታወሻ በመጠቀም የፈጠሩት። እነዚህን ዋቢ አድርግ fileበእግረኛው ወቅት በማንኛውም ጊዜ s.
ምስል 2. የማጣቀሻ ንድፍ Files
የማጣቀሻ ንድፍ የእግር ጉዞ
የሚከተሉት ደረጃዎች ወደ ጠፍጣፋ ንድፍ ከፊል መልሶ ማዋቀር አተገባበርን ያብራራሉ። መማሪያው የኢንቴል ኳርትስ ፕራይም ፕሮ እትም ሶፍትዌርን ለኢንቴል አሪያ 10 ሶሲ ልማት ቦርድ ይጠቀማል፡-
- ደረጃ 1፡ መነሻ ገጽ 6
- ደረጃ 2፡ በገጽ 6 ላይ የልጅ ደረጃ ንዑስ ሞጁል ይፍጠሩ
- ደረጃ 3፡ በገጽ 7 ላይ የንድፍ ክፍሎችን መፍጠር
- ደረጃ 4፡ በገጽ 9 ላይ ለ PR ክፍልፍሎች ምደባ እና መስመር ክልል መመደብ
- ደረጃ 5፡ በገጽ 10 ላይ የIntel Arria 10 ከፊል መልሶ ማዋቀር ተቆጣጣሪ አይፒ ኮር ማከል
- ደረጃ 6፡ በገጽ 13 ላይ ሰዎችን መግለጽ
- ደረጃ 7፡ በገጽ 15 ላይ ክለሳዎችን መፍጠር
- ደረጃ 8፡ በገጽ 20 ላይ ያለውን ተዋረዳዊ ከፊል መልሶ ማዋቀር ፍሰት ስክሪፕት ማመንጨት
- ደረጃ 9፡ በገጽ 21 ላይ ያለውን ተዋረዳዊ ከፊል መልሶ ማዋቀር ፍሰት ስክሪፕትን በማሄድ ላይ
- ደረጃ 10፡ የቦርዱን ፕሮግራም በገጽ 22 ላይ
ደረጃ 1፡ መጀመር
የማጣቀሻውን ንድፍ ለመቅዳት fileወደ የስራ አካባቢዎ እና ብልጭ ድርግም የሚመራውን ጠፍጣፋ ንድፍ ያጠናቅቁ፡
- በስራ አካባቢዎ ውስጥ ማውጫ ይፍጠሩ a10_soc_devkit_blinking_led_hpr።
- የወረዱትን መማሪያዎች/a10_soc_devkit_blinking_led_hpr/flat ንኡስ ማህደር ወደ ማውጫው, a10_soc_devkit_blinking_led_hpr ይቅዱ።
- በ Intel Quartus Prime Pro እትም ሶፍትዌር ውስጥ፣ ጠቅ ያድርጉ File ➤ ፕሮጄክትን ይክፈቱ እና blinking_led.qpfን ይምረጡ።
- የጠፍጣፋውን ንድፍ ለማጠናቀር፣ ፕሮሰሲንግ ➤ ጀምር ማጠናቀርን ይንኩ።
ደረጃ 2፡ የልጅ ደረጃ ንዑስ ሞጁል መፍጠር
ይህንን ጠፍጣፋ ንድፍ ወደ ተዋረዳዊ PR ንድፍ ለመቀየር በወላጅ ንዑስ-ሞዱል (blinking_led.sv) ውስጥ የተቀመጠ የሕፃን ንዑስ ሞዱል (blinking_led_child.SV) መፍጠር አለቦት።
- አዲስ ንድፍ ይፍጠሩ file, blinking_led_child.sv፣ እና የሚከተለውን የኮድ መስመሮች ወደዚህ ያክሉ fileየጊዜ መለኪያ 1 ps / 1 ps `default_nettype ምንም ሞጁል ብልጭልጭ_የሚመራ_ልጅ (// የሰዓት ግብዓት ሽቦ ሰዓት፣ የግቤት ሽቦ [31፡0] ቆጣሪ፣ // የ LEDs መቆጣጠሪያ ምልክቶች
- blinking_led.sv ን አስተካክል። file led_two_on ወደ 23 ቆጣሪውን ከስታቲክ ክልል ለማገናኘት እና ብልጭ ድርግም የሚለው_የሚመራ_ልጅ ሞጁሉን ያፋጥኑ። ከተሻሻሉ በኋላ፣ የእርስዎ blinking_led.sv file እንደሚከተለው መታየት አለበት:
- ሁሉንም ንድፍ በማስተካከል ላይ fileዎች፣ ፕሮሰሲንግ ➤ ጀምር ማጠናቀርን ጠቅ በማድረግ ፕሮጀክቱን እንደገና ያጠናቅቁ
ደረጃ 3: የንድፍ ክፍልፋዮችን መፍጠር
በከፊል እንደገና ማዋቀር ለሚፈልጉት ለእያንዳንዱ PR ክልል የንድፍ ክፍልፋዮችን መፍጠር አለብዎት። በንድፍዎ ውስጥ ማንኛውንም የገለልተኛ ክፍልፋዮችን ወይም የ PR ክልሎችን መፍጠር ይችላሉ። ይህ አጋዥ ስልጠና ለ u_blinking_led_child እና u_blinking_led ምሳሌዎች ሁለት የንድፍ ክፍልፋዮችን ይፈጥራል።
ለተዋረድ ከፊል መልሶ ማዋቀር የንድፍ ክፍልፋዮችን ለመፍጠር፡-
- በፕሮጀክት ናቪጌተር ውስጥ የ u_blinking_led_child ምሳሌን በቀኝ ጠቅ ያድርጉ እና የንድፍ ክፍልፍልን ጠቅ ያድርጉ ➤ እንደ ዲዛይን ክፍልፍል ያዘጋጁ። እንደ ክፋይ ከተዘጋጀው እያንዳንዱ ምሳሌ ቀጥሎ የንድፍ ክፋይ አዶ ይታያል።
ምስል 3. ከፕሮጀክት ናቪጌተር የንድፍ ክፍልፋዮችን መፍጠር
- የክፍፍል አይነትን ለመወሰን በHierarchy ትር ውስጥ የ u_blinking_led_child ምሳሌን በቀኝ ጠቅ ያድርጉ፣ የንድፍ ክፍልፍል ➤ እንደገና ሊዋቀር የሚችል የሚለውን ጠቅ ያድርጉ። ምሳሌውን እንደ ክፋይ ካቀናበሩ በኋላ የክፋይ ዓይነትን ብቻ መግለፅ ይችላሉ. የንድፍ ክፍልፍል በአልሚዎች ላይ ይታያል View የንድፍ ክፍልፍሎች መስኮት ትር.
ምስል 4. የንድፍ ክፍልፍሎች መስኮት
- ስሙን ሁለቴ ጠቅ በማድረግ በንድፍ ክፍልፍሎች መስኮት ውስጥ ያለውን የክፋይ ስም ያርትዑ። ለዚህ የማጣቀሻ ንድፍ የክፋዩን ስም ወደ pr_partition እንደገና ይሰይሙ።
ማስታወሻ፡- ክፋይ ሲፈጥሩ የኢንቴል ኳርተስ ፕራይም ሶፍትዌር በምሳሌ ስም እና በሥርዓት ዱካ ላይ በመመስረት በራስ-ሰር የክፍፍል ስም ያመነጫል። ይህ ነባሪ ክፍልፍል ስም በእያንዳንዱ ምሳሌ ሊለያይ ይችላል። - እንደገና የሚዋቀሩ የንድፍ ክፍልፋዮችን ለ u_blinking_led ምሳሌ ለመመደብ ደረጃ 1 እና 2ን ይድገሙ። ይህን ክፍልፍል ወደ pr_parent_partition እንደገና ይሰይሙ።
blinking_led.qsf ከእንደገና ሊዋቀሩ ከሚችሉ የንድፍ ክፍልፋዮችዎ ጋር የሚዛመደውን የሚከተሉትን ስራዎች መያዙን ያረጋግጡ።
ለከፊል መልሶ ማዋቀር የንድፍ ክፍልፋዮችን ይፍጠሩ
ደረጃ 4፡ ለ PR ክፍልፍሎች ምደባ እና ማስተላለፊያ ክልል መመደብ
የመሠረት ማሻሻያውን ሲፈጥሩ፣የፒአር ዲዛይን ፍሰቱ የእርስዎን PR ክፍልፍል ክልል ድልድል ተጠቅሞ በተያዘው ክልል ውስጥ ያለውን ተዛማጅ የሰው ኮርን ለማስቀመጥ። ለመሠረታዊ ክለሳዎ የPR ክልልን በመሣሪያው ወለል ፕላን ውስጥ ለማግኘት እና ለመመደብ፡-
- በፕሮጀክት ዳሳሽ ውስጥ የ u_blinking_led_child ምሳሌን በቀኝ ጠቅ ያድርጉ እና Logic Lock Region ➤ አዲስ Logic Lock Region ፍጠር የሚለውን ጠቅ ያድርጉ። ክልሉ በ Logic Lock Regions መስኮት ላይ ይታያል።
- የእርስዎ የምደባ ክልል ብልጭ ድርግም የሚሉ_ልጅ ሎጂክን ማያያዝ አለበት። መስቀለኛ መንገድን በቺፕ ፕላነር ውስጥ በመፈለግ የምደባ ክልሉን ይምረጡ። በፕሮጀክት ናቪጌተር ውስጥ ያለውን የ u_blinking_led_child ክልል ስም በቀኝ ጠቅ ያድርጉ እና መስቀለኛ መንገድን ➤ በቺፕ ፕላነር ውስጥ አግኝ።
ምስል 5. ቺፕ ፕላነር መስቀለኛ መንገድ ለ blinking_led - በ Logic Lock Regions መስኮት ውስጥ በመነሻ አምድ ውስጥ የምደባ ክልል መጋጠሚያዎችን ይግለጹ። መነሻው ከክልሉ ታችኛው ግራ ጥግ ጋር ይዛመዳል. ለ example፣ (X1 Y1) መጋጠሚያ ያለው እንደ (69 10) የምደባ ክልል ለማዘጋጀት፣ መነሻውን እንደ X69_Y10 ይግለጹ። የኢንቴል ኳርተስ ፕራይም ሶፍትዌሮች እርስዎ በገለጹት ቁመት እና ስፋት ላይ በመመስረት ለምደባ ክልል (X2 Y2) መጋጠሚያዎችን (ከላይ በቀኝ) ያሰላል።
ማስታወሻ፡- ይህ መማሪያ የ(X1 Y1) መጋጠሚያዎች - (69 10)፣ እና 20 ቁመት እና ስፋት ለምደባ ክልል ይጠቀማል። ክልሉ ብልጭ ድርግም የሚል_የተመራ_ልጅ አመክንዮ እስካልሸፈነ ድረስ ለምደባ ክልል ማንኛውንም ዋጋ ይግለጹ። - የተያዙ እና ዋና-ብቻ አማራጮችን ያንቁ።
- የራውቲንግ ክልል አማራጭን ሁለቴ ጠቅ ያድርጉ። Logic Lock Routing Region Settings የንግግር ሳጥን ይታያል።
- ለራውቲንግ አይነት ከመስፋፊያ ጋር ቋሚ የሚለውን ይምረጡ። ይህን አማራጭ መምረጥ በራስ ሰር የማስፋፊያ ርዝመት 1 ይመድባል።
ማስታወሻ፡- ሞተሩ ወደ ተለያዩ ሰዎች በሚሄድበት ጊዜ ለFitter ተጨማሪ ተለዋዋጭነት ለማቅረብ የማዞሪያው ክልል ከምደባው ክልል የበለጠ መሆን አለበት። - ለ u_blinking_led ምሳሌ ደረጃ 1 -6 ይድገሙ። የወላጅ-ደረጃ ምደባ ለ u_blinking_led ምሳሌ 1-6 ን ይድገሙ። ለወላጅ ደረጃ አመክንዮ ምደባ በቂ ቦታ ሲፈቅድ የወላጅ-ደረጃ ምደባ ክልል ተጓዳኝ የልጅ ደረጃ ምደባን እና የማዞሪያ ክልሎችን ሙሉ በሙሉ ማካተት አለበት። ይህ አጋዥ ስልጠና የ (X1 Y1) መጋጠሚያዎች - (66 7)፣ 47 ቁመት እና 26 ስፋት ለ u_blinking_led ምሳሌ ምደባ ክልል ይጠቀማል።
ምስል 6.የሎጂክ መቆለፊያ ክልሎች መስኮት
blinking_led.qsf ከእርስዎ የወለል ፕላን ጋር የሚዛመደው የሚከተሉትን ስራዎች እንደያዘ ያረጋግጡ፡
- ከፊል መልሶ ማዋቀር ንድፍ ወለል እቅድ
- ከፊል ዳግም ማዋቀርን ከጊዜ ወደ ጊዜ በመተግበር ላይ
ደረጃ 5፡ Intel Arria 10 ከፊል መልሶ ማዋቀር ተቆጣጣሪ IP ኮር መጨመር
- የ PR ክፋይን እንደገና ለማዋቀር የIntel Arria 10 ከፊል መልሶ ማዋቀር ተቆጣጣሪ IP ኮር ይጠቀሙ። ይህ አይ ፒ ኮር ጄን ይጠቀማልTAG የ PR ክፍልፍልን እንደገና ለማዋቀር. የኢንቴል አሪያ 10 ከፊል መልሶ ማዋቀር መቆጣጠሪያ IP ኮርን ወደ ኢንቴል ኳርተስ ፕራይም ፕሮጄክት ለመጨመር፡-
- በአይፒ ካታሎግ ውስጥ ከፊል መልሶ ማዋቀርን ይተይቡ።
- የአይፒ ፓራሜትር አርታዒ ፕሮ መስኮቱን ለመክፈት ከአይፒ ቤተ-መጽሐፍት ውስጥ የ Intel Arria 10 Partial Reconfiguration Controller IP core የሚለውን ይምረጡ እና አክል የሚለውን ጠቅ ያድርጉ።
- በአዲሱ የአይፒ ተለዋጭ መገናኛ ሳጥን ውስጥ pr_ipን እንደ የ file ስም እና ፍጠርን ጠቅ ያድርጉ። ለpr_ip ነባሪውን መለኪያ ተጠቀም። ጄን አንቃ መሆኑን ያረጋግጡTAG የማረም ሁነታ እና የፍሪዝ በይነገጽ አማራጮች በርተዋል፣ እና አቫሎን-ኤምኤም ባሪያ በይነገጽን አንቃ አማራጭ ጠፍቷል።
ምስል 7. Intel Arria 10 ከፊል መልሶ ማዋቀር ተቆጣጣሪ IP Core Parameters
- ጨርስን ጠቅ ያድርጉ እና ስርዓቱን ሳያመነጩ ከፓራሜትር አርታዒውን ይውጡ። Intel Quartus Prime ሶፍትዌር የpr_ip.ip IP ልዩነት ይፈጥራል file, እና ያክላል file ወደ ብልጭ ድርግም የሚመራው ፕሮጀክት።
ማስታወሻ፡-
- pr_ip.ip እየገለብክ ከሆነ file ከ hpr አቃፊ፣ blinking_led.qsf ን እራስዎ ያርትዑ file የሚከተለውን መስመር ለማካተት፡- set_global_assignment -name IP_FILE pr_ip.ip
- አይፒ_አስቀምጥFILE ከኤስዲሲ_ በኋላ የተሰጠ ስራFILE ስራዎች (ጄtag.sdc እና blinking_led.sdc) በእርስዎ blinking_led.qsf ውስጥ file. ይህ ማዘዣ የከፊል መልሶ ማዋቀር አይፒ ኮር ተገቢውን መገደብ ያረጋግጣል።
ማስታወሻ፡- ሰዓቶቹን ለመለየት, SDC file ለ PR IP የአይፒ ኮር የሚጠቀምባቸውን ሰዓቶች የሚፈጥር ማንኛውንም SDC መከተል አለበት። .ip ን በማረጋገጥ ይህንን ትዕዛዝ ያመቻቹታል። file ለ PR IP ኮር ከማንኛውም .ip በኋላ ይመጣል files ወይም SDC fileእነዚህን ሰዓቶች በQSF ውስጥ ለመፍጠር ጥቅም ላይ ይውላል file ለእርስዎ Intel Quartus Prime ፕሮጀክት ክለሳ። ለበለጠ መረጃ፣ በከፊል መልሶ ማዋቀር IP ዋና የተጠቃሚ መመሪያ ውስጥ ያለውን የጊዜ ገደቦች ክፍል ይመልከቱ።
ተዛማጅ መረጃ
- ከፊል መልሶ ማዋቀር የአይፒ መፍትሄዎች የተጠቃሚ መመሪያ
- በከፊል መልሶ ማዋቀር ክልል መቆጣጠሪያ IP ኮር ላይ መረጃ ለማግኘት.
- ከፊል መልሶ ማዋቀር የአይፒ ዋና የተጠቃሚ መመሪያ
- በጊዜ ገደቦች ላይ መረጃ ለማግኘት.
የከፍተኛ ደረጃ ዲዛይን በማዘመን ላይ
የላይኛውን ለማዘመን.ኤስ.ቪ file ከPR_IP ምሳሌ ጋር፡-
- የPR_IP ምሳሌን ወደ ከፍተኛ ደረጃ ንድፍ ለመጨመር የሚከተለውን የኮድ እገዳ ከላይ አስተያየት ይስጡ። ኤስቪ file:
- በዳግም ውቅረት ወቅት የውጤት ወደቦችን ወደ አመክንዮ 1 ለማስገደድ፣ ከPR_IP የሚገኘውን የፍሪዝ መቆጣጠሪያ ምልክት ውፅዓት ይጠቀሙ። ነገር ግን፣ PR የልጅ ክፍልፍልን በሚያዘጋጅበት ጊዜ ኤልኢዱ ከወላጅ PR ክፍልፍል ብልጭ ድርግም የሚል መሆኑን ለመመልከት፣ የፍሪዝ መቆጣጠሪያ ምልክቱ led_two_onን አያጠፋውም። pr_led_two_on በቀጥታ መሆኑን ያረጋግጡ
- ነባሪውን የወላጅ ሰው ምሳሌ ለመመደብ (ብልጭ ድርግም የሚሉ)፣ ከላይ ያለውን ኤስቪ ያዘምኑ file ከሚከተለው የኮድ ብሎክ ጋር፡-
ምስል 8. ከፊል መልሶ ማዋቀር IP ኮር ውህደት
ደረጃ 6፡ ሰዎችን መግለጽ
ይህ የማመሳከሪያ ንድፍ ለወላጅ እና ለልጁ PR ክፍልፍሎች አምስት የተለያዩ ግለሰቦችን ይገልጻል። በፕሮጀክትዎ ውስጥ ያሉትን ግለሰቦች ለመግለጽ እና ለማካተት፡-
- አራት SystemVerilog ፍጠር files፣ blinking_led_child.sv፣ blinking_led_child_slow.sv፣ blinking_led_child_empty.sv እና blinking_led_slow.sv በአምስቱ ሰዎች የስራ መዝገብህ ውስጥ።
ማስታወሻ፡- SystemVerilog ከፈጠሩ files ከ Intel Quartus Prime Text Editor፣ Add theን ያሰናክሉ። file ወደ የአሁኑ የፕሮጀክት አማራጭ፣ ሲቆጥቡ files.
ሠንጠረዥ 2. የማጣቀሻ ንድፍ ሰዎች
File ስም | መግለጫ | ኮድ |
ብልጭልጭ_led_child.sv | ነባሪ ሰው ለልጁ-ደረጃ ንድፍ |
`የጊዜ መለኪያ 1 ፕ/ 1 ፒ `ነባሪ_ኔትታይፕ ምንም አይነት ሞጁል ብልጭልጭ_የሚመራ_ልጅ ( // ሰዓት የግቤት ሽቦ ሰዓት ፣ የግቤት ሽቦ [31:0] ቆጣሪ,
// የ LEDs የውጤት ሽቦ led_three_on የመቆጣጠሪያ ምልክቶች
); localparam COUNTER_TAP = 23; reg መሪ_ሶስት_ላይ_r;
መር_ሶስት_ላይ = መሪ_ሶስት_ላይ_ር; ሁልጊዜ_ff @(የፖስታ ሰዓት) ይጀምራል መር_ሶስት_ላይ_r <= ቆጣሪ[COUNTER_TAP]; መጨረሻ
endmodule |
ቀጠለ… |
File ስም | መግለጫ | ኮድ |
ብልጭልጭ_የሚመራ_ልጅ_slow.sv | የ
LED_ሶስት ቀስ ብሎ ብልጭ ድርግም ይላል |
`የጊዜ መለኪያ 1 ፕ/ 1 ፒ `ነባሪ_የአውታረ መረብ አይነት የለም።
ሞጁል ብልጭ ድርግም_የሚመራ_ልጅ_ቀርፋፋ (
// ሰዓት የግቤት ሽቦ ሰዓት ፣ የግቤት ሽቦ [31:0] ቆጣሪ,
// የ LEDs የውጤት ሽቦ led_three_on የመቆጣጠሪያ ምልክቶች );
localparam COUNTER_TAP = 27; reg መሪ_ሶስት_ላይ_r;
መር_ሶስት_ላይ = መሪ_ሶስት_ላይ_ር; ሁልጊዜ_ff @(የፖስታ ሰዓት) ይጀምራል መር_ሶስት_ላይ_r <= ቆጣሪ[COUNTER_TAP]; መጨረሻ
endmodule |
ብልጭልጭ_የተመራ_ልጅ_empty.sv | የ
LED_ሶስት እንደበራ ይቆያል |
`የጊዜ መለኪያ 1 ፕ/ 1 ፒ `ነባሪ_የአውታረ መረብ አይነት የለም።
ሞጁል ብልጭ ድርግም_የሚመራ_ልጅ_ባዶ (
// ሰዓት የግቤት ሽቦ ሰዓት ፣ የግቤት ሽቦ [31:0] ቆጣሪ,
// የ LEDs የውጤት ሽቦ led_three_on የመቆጣጠሪያ ምልክቶች
);
// LED ንቁ ዝቅተኛ ነው መሪ_ሶስት_ላይ = 1'b0;
endmodule |
ብልጭልጭ_ሊድ_slow.sv | LED_TWO
ቀስ ብሎ ብልጭ ድርግም ይላል. |
`የጊዜ መለኪያ 1 ፕ/ 1 ፒ `ነባሪ_ኔትታይፕ ምንም ሞጁል ብልጭ ድርግም_የሚመራ_ቀርፋፋ( // ሰዓት የግቤት ሽቦ ሰዓት ፣ የግቤት ሽቦ [31:0] ቆጣሪ,
// የ LEDs የውጤት ሽቦ led_two_on የመቆጣጠሪያ ምልክቶች፣ የውጤት ሽቦ በሶስት_ላይ ተመርቷል።
);
localparam COUNTER_TAP = 27; reg መር_ሁለት_ላይ_r; መምራት_ሁለት_ላይ = ሁለት_ላይ_ላይ መመደብ;
// ቆጣሪ; ሁልጊዜ_ff @(የፖስታ ሰዓት) led_two_on_r ጀምር <= ቆጣሪ[COUNTER_TAP]; መጨረሻ
ብልጭልጭ_የሚመራ_ልጅ u_ብልጭልጭ_የሚመራ_ልጅ( .በሶስት_ላይ(በሶስት_ላይ)፣ ቆጣሪ (መቁጠሪያ)፣ ሰዓት (ሰዓት) |
File ስም | መግለጫ | ኮድ |
);ndmodule |
ደረጃ 3፡ በገጽ 7 ላይ የንድፍ ክፍልፍሎችን መፍጠር
ደረጃ 7፡ ክለሳዎችን መፍጠር
የ PR ንድፍ ፍሰት የፕሮጀክት ማሻሻያ ባህሪን በ Intel Quartus Prime ሶፍትዌር ውስጥ ይጠቀማል። የመጀመሪያ ንድፍዎ በFPGA ላይ የማይንቀሳቀሱ የክልል ድንበሮችን እና እንደገና ሊዋቀሩ የሚችሉ ክልሎችን የሚገልጹበት የመሠረት ክለሳ ነው። ከመሠረታዊ ክለሳ ብዙ ክለሳዎችን ይፈጥራሉ። እነዚህ ክለሳዎች ለ PR ክልሎች የተለያዩ ትግበራዎችን ይይዛሉ። ነገር ግን፣ ሁሉም የPR ትግበራ ክለሳዎች ከመሠረታዊ ክለሳ የተገኙ ተመሳሳይ የከፍተኛ ደረጃ ምደባ እና የማዞሪያ ውጤቶችን ይጠቀማሉ። የPR ንድፍን ለማጠናቀር፣ ለእያንዳንዱ ሰው የPR ትግበራ ክለሳ እና ውህደት ማሻሻያ መፍጠር አለብዎት። በዚህ የማመሳከሪያ ንድፍ፣ ከመሠረታዊ ክለሳ (ብልጭልጭ_መሪ) በተጨማሪ ሦስቱ የልጅ ደረጃ ግለሰቦች እና ሁለቱ የወላጅ-ደረጃ ግለሰቦች አምስት የተለያዩ የውህደት ክለሳዎች እና አምስት የተለያዩ የትግበራ ክለሳዎችን ይይዛሉ።
ሠንጠረዥ 3. የሁለት ወላጅ እና የሶስት ልጅ ሰዎች ክለሳዎች
የውህደት ክለሳ | የትግበራ ክለሳ |
ብልጭልጭ_የሚመራ_ወላጅ፣ ብልጭልጭ_የሚመራ_ነባሪ | ብልጭ ድርግም የሚሉ_ሊድ_pr_alpha |
ብልጭልጭ_የሚመራ_ወላጅ፣ ብልጭልጭ_የሚመራ_ልጅ_ቀርፋፋ | ብልጭልጭ_ሊድ_pr_bravo |
ብልጭልጭ_የሚመራ_ወላጅ፣ ብልጭልጭ_የሚመራ_ልጅ_ባዶ | ብልጭ ድርግም የሚሉ_ሊድ_pr_charlie |
ብልጭልጭ_የሚመራ_ወላጅ_ቀርፋፋ ፣ ብልጭ ድርግም_የሚመራ_ልጅ_ቀርፋፋ | ብልጭ ድርግም የሚሉ_ሊድ_pr_delta |
ብልጭልጭ_የሚመራ_ወላጅ_ቀርፋፋ ፣ ብልጭ ድርግም_የሚመራ_ልጅ_ባዶ | ብልጭ ድርግም የሚሉ_ሊድ_pr_emma |
የአተገባበር ክለሳዎችን መፍጠር
የPR ትግበራ ክለሳዎችን ለመፍጠር፡-
- የክለሳዎች የንግግር ሳጥን ለመክፈት ፕሮጀክት ➤ ክለሳዎች የሚለውን ጠቅ ያድርጉ።
- አዲስ ክለሳ ለመፍጠር <<>ን ሁለቴ ጠቅ ያድርጉ >.
- የክለሳ ስሙን blinking_led_pr_alpha ብለው ይግለጹ እና በክለሳ ላይ በመመስረት blinking_led የሚለውን ይምረጡ።
- አዘጋጅን እንደ ወቅታዊ የክለሳ አማራጭ ያሰናክሉ እና እሺን ጠቅ ያድርጉ።
- በተመሳሳይ፣ ብልጭልጭ_ሊድ_pr_bravo፣ ብልጭልጭ_ሊድ_pr_charlie፣ ብልጭልጭ_ሊድ_pr_delta፣ እና ብልጭልጭ_ሊድ_pr_emma ክለሳዎችን ይፍጠሩ፣ በብልጭልጭ_መሪ ክለሳ።
ማስታወሻ፡- ከላይ ያሉትን ክለሳዎች እንደ ወቅታዊ ክለሳ አታዘጋጁ።
ምስል 9. ክለሳዎችን መፍጠር
ሲንተሲስ-ብቻ ክለሳዎችን መፍጠር
ለግለሰቦች ውህድ-ብቻ ክለሳዎችን ለመፍጠር ከፍተኛ ደረጃ ያለውን አካል እና ተዛማጅ የስርዓት ቬሪሎግን መመደብ አለቦት። file ለእያንዳንዱ ሰው:
- በIntel Quartus Prime ሶፍትዌር ውስጥ ፕሮጄክት ➤ ክለሳዎችን ጠቅ ያድርጉ።
- ብልጭ ድርግም የሚሉ_የሚመራ ክለሳን መሰረት በማድረግ ብልጭ ድርግም የሚሉ_የሚመሩ_ነባሪ ክለሳ ይፍጠሩ። ይህንን ክለሳ እንደ ወቅታዊ ክለሳ አታዘጋጁት።
- blinking_led_default.qsf አስተካክል። file የሚከተሉትን ሥራዎች ለማካተት፡-
ዓለም አቀፋዊ_መመደብ -ስም TOP_LEVEL_ENTITY ብልጭልጭ_የሚመራ_ልጅ
አቀናብር_አለምአቀፍ_መመደብ -ስም SYSTEMVERILOG_FILE - በተመሳሳይ፣ ብልጭልጭ_የሚመራ_ልጅ_ቀርፋፋ፣ ብልጭ ድርግም_የሚመራ_ልጅ_ባዶ፣ ብልጭልጭ_የሚመራ_ወላጅ እና ብልጭልጭ_የሚመራ_ወላጅ_ቀርፋፋ ክለሳዎችን በብልጭልጭ_የሚመራ ክለሳ ይፍጠሩ። እነዚህን ክለሳዎች እንደ ወቅታዊ ክለሳዎች አታዘጋጃቸው።
- ብልጭ ብልጭ ድርግም የሚሉ_ልጅ_slow.qsf፣ ብልጭልጭ_ልጅ_ባዶ files ከተዛማጅ ጋር
TOP_LEVEL_ENTITY እና SYSTEMVERILOG_FILE ስራዎች፡ - የማዋሃድ ስህተቶችን ለማስቀረት ፣የተዋሃዱ መከለሱን ያረጋግጡ files ለልጁ ክፍልፍሎች ምንም ዓይነት የንድፍ ክፍልፍል፣ ፒን ምደባዎች ወይም ሎጂክ መቆለፊያ አልያዙም።
የክልል ምደባዎች. እንዲሁም, የአጻጻፍ ክለሳ files ለወላጅ ክፍልፋዮች ለተዛማጅ የልጆች ክፍልፋዮች የንድፍ ክፍልፋዮችን ብቻ መያዝ አለባቸው። በብልጭልጭ_led_default.qsf፣ ብልጭ ድርግም_led_child_slow.qsf፣ ብልጭልጭ_ልጅ_ባዶ files - የሚከተሉትን ስራዎች በ blinking_led_parent.qsf እና ብልጭልጭ_የሚመሩ_ወላጅ_slow.qsf ያካትቱ files:
- blinking_led.qpf መሆኑን ያረጋግጡ file የሚከተሉትን ክለሳዎች ይዟል፣ በተለየ ቅደም ተከተል፡-
- ማስታወሻ፡- ክለሳውን እየገለበጡ ከሆነ files ከ hpr አቃፊ፣ blinking_led.qpf ን በእጅ ያዘምኑ file ከላይ ባሉት የኮድ መስመሮች.
የማሻሻያ ዓይነትን በመግለጽ ላይ
ለእያንዳንዱ የእርስዎ ክለሳ የክለሳ አይነት መመደብ አለቦት። ሦስት የማሻሻያ ዓይነቶች አሉ፡-
- ከፊል መልሶ ማዋቀር - መሠረት
- ከፊል ዳግም ማዋቀር - Persona Synthesis
- ከፊል ዳግም ማዋቀር - Persona ትግበራ
- የሚከተለው ሠንጠረዥ ለእያንዳንዱ ማሻሻያ የክለሳ አይነት ስራዎችን ይዘረዝራል።
ሠንጠረዥ 4. የማሻሻያ ዓይነቶች
የክለሳ ስም | የክለሳ አይነት |
ብልጭልጭ_ሊድ.qsf | ከፊል መልሶ ማዋቀር - መሠረት |
ብልጭልጭ_ሊድ_default.qsf | ከፊል ዳግም ማዋቀር - Persona Synthesis |
ብልጭልጭ_የሚመራ_ልጅ_ባዶ.qsf | ከፊል ዳግም ማዋቀር - Persona Synthesis |
ብልጭልጭ_የሚመራ_ልጅ_slow.qsf | ከፊል ዳግም ማዋቀር - Persona Synthesis |
ብልጭልጭ_የሚመራ_ወላጅ.qsf | ከፊል ዳግም ማዋቀር - Persona Synthesis |
ብልጭልጭ_የሚመራ_ወላጅ_slow.qsf | ከፊል ዳግም ማዋቀር - Persona Synthesis |
ብልጭልጭ_ሊድ_pr_alpha.qsf | ከፊል ዳግም ማዋቀር - Persona ትግበራ |
ብልጭልጭ_ሊድ_pr_bravo.qsf | ከፊል ዳግም ማዋቀር - Persona ትግበራ |
ብልጭልጭ_ሊድ_pr_charlie.qsf | ከፊል ዳግም ማዋቀር - Persona ትግበራ |
ብልጭልጭ_ሊድ_pr_delta.qsf | ከፊል ዳግም ማዋቀር - Persona ትግበራ |
ብልጭልጭ_ሊድ_pr_emma.qsf | ከፊል ዳግም ማዋቀር - Persona ትግበራ |
- የፕሮጀክት ክለሳዎችን ጠቅ ያድርጉ። የክለሳዎች የንግግር ሳጥን ይታያል።
- በክለሳ ስም ዓምድ ውስጥ blinking_led የሚለውን ይምረጡ እና የአሁኑን አዘጋጅ የሚለውን ጠቅ ያድርጉ።
- ተግብር የሚለውን ጠቅ ያድርጉ። ብልጭ ድርግም የሚለው_የመራ ክለሳ ይከፈታል።
- የክለሳውን አይነት ለ blinking_led ለማዘጋጀት፣ ምደባዎች ➤ መቼቶች ➤ አጠቃላይ የሚለውን ጠቅ ያድርጉ።
- የማሻሻያ ዓይነትን እንደ ከፊል መልሶ ማዋቀር ይምረጡ - መሠረት።
- በተመሳሳይ ከላይ ባለው ሠንጠረዥ ውስጥ እንደተዘረዘሩት ለሌሎቹ አስር ክለሳዎች የማሻሻያ ዓይነቶችን ያዘጋጁ።
- ማስታወሻ፡- የክለሳውን አይነት ከመመደብዎ በፊት እያንዳንዱን ክለሳ እንደ ወቅታዊው ማሻሻያ ማዘጋጀት አለብዎት። እያንዳንዱ .qsf መሆኑን ያረጋግጡ file የሚከተለውን ተግባር ይዟል፡-
- ማስታወሻ፡- በ PR ትግበራ ውስጥ ሊጠቀሙባቸው የሚፈልጓቸውን ማንኛውንም Fitter-ተኮር ቅንብሮችን ወደ ግለሰባዊ አተገባበር ክለሳዎች ያክሉ። የFitter-specific settings የግለሰቡን ተስማሚነት ይነካል፣ ነገር ግን ከውጪ የሚመጣውን የማይንቀሳቀስ ክልል ላይ ተጽዕኖ አያሳርፉም። እንዲሁም ማንኛቸውም ውህደ-ተኮር ቅንጅቶችን ወደ የግለሰብ ሰው ውህደት ክለሳዎች ማከል ይችላሉ።
ለግለሰቦች ክለሳዎችን ይፍጠሩ
ደረጃ 8፡ ተዋረዳዊ ከፊል መልሶ ማዋቀር ፍሰት ስክሪፕት ማመንጨት
ተዋረዳዊ ከፊል የመልሶ ማዋቀር ፍሰት ስክሪፕት ለመፍጠር፡-
- ከ Intel Quartus Prime የትእዛዝ ሼል፣ የሚከተለውን ትዕዛዝ በማስኬድ የፍሰት አብነት ይፍጠሩ፡
- Intel Quartus Prime a10_hier_partial_reconfig/flow.tcl ያመነጫል። file.
- የተፈጠረውን a10_hier_partial_reconfig/setup.tcl.ex እንደገና ይሰይሙample to a10_hier_partial_reconfig/setup.tcl፣ እና የእርስዎን ከፊል የመልሶ ማዋቀር ፕሮጀክት ዝርዝሮችን ለመግለጽ ስክሪፕቱን ያሻሽሉ፡
a. የፕሮጀክቱን ስም ለመወሰን የሚከተለውን መስመር ያዘምኑ፡-b. የመሠረት ክለሳውን ለመወሰን የሚከተለውን መስመር ያዘምኑ፡-
- እያንዳንዱን ከፊል የመልሶ ማዋቀር አተገባበር ክለሳዎችን ከ PR ክፍልፍል ስሞች እና ክለሳዎችን የሚተገበረውን የምንጭ ማሻሻያ ለመወሰን የሚከተሉትን መስመሮች ያዘምኑ።
ማስታወሻ፡- ሁሉም የክለሳ ፕሮጀክቶች ከ blinking_led.qpf ጋር ተመሳሳይ በሆነ ማውጫ ውስጥ መሆን አለባቸው። አለበለዚያ የፍሰት ስክሪፕቱን በዚሁ መሰረት ያዘምኑ።
ደረጃ 9፡ ተዋረዳዊ ከፊል መልሶ ማዋቀር ፍሰት ስክሪፕትን በማሄድ ላይ
ተዋረዳዊ ከፊል የመልሶ ማዋቀር ፍሰት ስክሪፕትን ለማስኬድ፡-
- መሳሪያዎች ➤ Tcl Scripts የሚለውን ጠቅ ያድርጉ። የTcl ስክሪፕቶች የንግግር ሳጥን ይታያል።
- ወደ ፕሮጀክት አክል የሚለውን ጠቅ ያድርጉ፣ ያስሱ እና a10_hier_partial_reconfig/flow.tclን ይምረጡ።
- በቤተ-መጽሐፍት መቃን ውስጥ a10_hier_partial_reconfig/flow.tcl የሚለውን ይምረጡ እና አሂድ የሚለውን ጠቅ ያድርጉ።
ይህ ስክሪፕት ለሶስቱ ሰዎች ውህደትን ያካሂዳል። Intel Quartus Prime SRAM Object ያመነጫል። File (.ሶፍ)፣ ከፊል-ጭምብል የተደረገ SRAM ነገር File (.pmsf)፣ እና ጥሬ ሁለትዮሽ File (.rbf) ለእያንዳንዱ ሰው።
ማስታወሻ፡ ስክሪፕቱን ከIntel Quartus Prime ትዕዛዝ ሼል ለማሄድ የሚከተለውን ትዕዛዝ ይተይቡ፡
- ከፊል መልሶ ማዋቀር ንድፉን ያጠናቅቁ
- ከፊል መልሶ ማዋቀር ፍሰት ስክሪፕት በመጠቀም
- ከፊል መልሶ ማዋቀር ፍሰት ስክሪፕት በማዋቀር ላይ
- ፕሮግራሚንግ ማመንጨት Files
ደረጃ 10፡ የቦርድ ፕሮግራም ማውጣት
ከመጀመርዎ በፊት፡-
- የኃይል አቅርቦቱን ከ Intel Arria 10 SoC ልማት ሰሌዳ ጋር ያገናኙ።
- የዩኤስቢ Blaster ገመዱን በእርስዎ ፒሲ ዩኤስቢ ወደብ እና በልማት ሰሌዳው ላይ ባለው የዩኤስቢ Blaster ወደብ መካከል ያገናኙ።
ንድፉን በ Intel Aria 10 SoC ልማት ሰሌዳ ላይ ለማስኬድ፡-
- Intel Quartus Prime ሶፍትዌርን ይክፈቱ እና Tools ➤ ፕሮግራመርን ጠቅ ያድርጉ።
- በፕሮግራመር ውስጥ የሃርድዌር ማዋቀርን ጠቅ ያድርጉ እና USB-Blasterን ይምረጡ።
- ራስ-ሰር አግኝ የሚለውን ጠቅ ያድርጉ እና መሳሪያውን 10AS066N3 ይምረጡ።
- እሺን ጠቅ ያድርጉ። የኢንቴል ኳርተስ ፕራይም ሶፍትዌር ፕሮግራመርን በቦርዱ ላይ ባሉት ሶስት FPGA ቺፖችን ፈልጎ ያዘምናል።
- የ10AS066N3 መሣሪያን ይምረጡ፣ ለውጥን ጠቅ ያድርጉ File እና blinking_led_pr_alpha.sof ን ይጫኑ file.
- ለ blinking_led_pr_alpha.sof ፕሮግራምን አንቃ/አዋቅር file.
- ጀምርን ጠቅ ያድርጉ እና የሂደቱ አሞሌ 100% እስኪደርስ ይጠብቁ።
- በቦርዱ ላይ ያሉት ኤልኢዲዎች ከመጀመሪያው ጠፍጣፋ ንድፍ ጋር በተመሳሳይ ድግግሞሽ ሲያንጸባርቁ ይመልከቱ።
- የሕፃን PR ክልልን ብቻ ለማቀድ፣ blinking_led_pr_alpha.sof በቀኝ ጠቅ ያድርጉ file በፕሮግራመር ውስጥ እና የ PR ፕሮግራሚንግ አክል የሚለውን ጠቅ ያድርጉ File.
- ብልጭ ድርግም የሚሉ_ሊድ_pr_bravo.pr_parent_partition.pr_partition.rbf ይምረጡ file.
- ለ blinking_led_pr_alpha.sof ፕሮግራምን አሰናክል/አዋቅር file.
- ለ blinking_led_pr_bravo.pr_parent_partition.pr_partition.rbf ፕሮግራምን አንቃ/አዋቅር file እና ጀምርን ጠቅ ያድርጉ። በቦርዱ ላይ፣ ኤልኢዲ [0] እና ኤልኢዲ [1] ብልጭ ድርግም እያሉ ሲቀጥሉ ይመልከቱ። የሂደት አሞሌው 100% ሲደርስ፣ LED[2] በተመሳሳይ ፍጥነት ብልጭ ድርግም ይላል፣ እና LED[3] በቀስታ ብልጭ ድርግም ይላል።
- ሁለቱንም የወላጅ እና የልጅ PR ክልል ፕሮግራም ለማድረግ፣ .rbf ን በቀኝ ጠቅ ያድርጉ file በፕሮግራመር ውስጥ እና PR Programing ቀይር የሚለውን ጠቅ ያድርጉ File.
- blinking_led_pr_delta.pr_parent_partition.rbfን ይምረጡ file.
- ጀምርን ጠቅ ያድርጉ። በቦርዱ ላይ፣ LED[0] እና LED[1] ብልጭ ድርግም እያሉ ሲቀጥሉ ይመልከቱ። የሂደቱ አሞሌ 100% ሲደርስ ሁለቱም LED[2] እና LED[3] ቀስ ብለው ብልጭ ድርግም ይላሉ።
- ከላይ የተጠቀሱትን እርምጃዎች ይድገሙት የልጁን የህዝብ ግንኙነት ክልል ወይም ሁለቱንም የወላጅ እና የልጅ የህዝብ ግንኙነት ክልሎችን በአንድ ጊዜ እንደገና ለማቀናበር።
ምስል 10. የ Intel Arria 10 SoC ልማት ቦርድ ፕሮግራም ማውጣት
ነባሩን ሰው ማስተካከል
- የመሠረት ክለሳውን ሙሉ በሙሉ ካጠናቀረ በኋላም ቢሆን ነባር ሰው መቀየር ይችላሉ።
- ለ exampለ፣ ብልጭ ድርግም የሚለው_የመሪ_ልጅ_ቀርፋፋ ሰው የበለጠ ቀርፋፋ ብልጭ ድርግም እንዲል ለማድረግ፡
- በብልጭልጭ_ሊድ_ልጅ_slow.sv file፣ የCOUNTER_TAP ግቤትን ከ27 ወደ 28 ያሻሽሉ።
- ይህንን ሰው እንደገና ለማቀናበር እና እንደገና ለመተግበር፣ በለውጡ የተጎዱትን ሁሉንም የአቀነባበር-ብቻ ክለሳዎችን እና የትግበራ ክለሳዎችን እንደገና ማሰባሰብ አለብዎት። የሚከተሉትን መስመሮች ለማካተት የ setup.tcl ስክሪፕት ያሻሽሉ፡
ማስታወሻ፡- የpr_parent_parition ለብልጭልጭ_ሊድ_pr_delta ክለሳ ሲገልጹ፣ ለትግበራ የዚያን ሰው የመጨረሻ ቅጽበታዊ ገጽ እይታ ያስመጡታል። በውጤቱም, የወላጅ ክፍፍል አመክንዮ አተገባበር አንድ አይነት ሆኖ ይቆያል, ተጓዳኝ የልጅ ክፍፍልን በማሻሻል እና በመተግበር ላይ.
ይህ ትእዛዝ ብልጭ ድርግም የሚሉ_የልጆች_ቀስ በቀስ ውህደቱን እንደገና ያዋህዳል፣ እና የ PR ትግበራ ማጠናቀርን blinking_led_pr_bravo በመጠቀም ይሰራል።
- የማዋሃድ-ብቻ ክለሳዎችን ለማቀናበር የሚከተለውን ትዕዛዝ ያሂዱ፡- ይህ ትእዛዝ የመሠረት ክለሳን እንደገና አያጠናቅቅም።
- የትግበራ ማሻሻያዎችን ለማጠናቀር የሚከተለውን ትዕዛዝ ያሂዱ፡-
- ይህ ትዕዛዝ የመሠረት ማሻሻያውን እንደገና አያጠናቅቅም።
- በደረጃ 10 ያሉትን ደረጃዎች ይከተሉ፡ ቦርዱን በገጽ 22 ላይ ፕሮግራሚንግ ማድረግ ውጤቱን RBF ፕሮግራም ማድረግ file ወደ FPGA.
ማስታወሻ፡- ለእያንዳንዱ ክለሳ አጠቃላይ ፍሰቱን እንዳያስኬድ፣ በsett.tcl ስክሪፕት ውስጥ ያለውን ውህድ-ብቻ ክለሳዎችን እና የትግበራ ክለሳዎችን ይግለጹ እና ስክሪፕቱን ያሂዱ።
አዲስ ሰው ወደ ንድፉ ማከል
የመሠረት ክለሳዎችዎን ሙሉ በሙሉ ካጠናቀሩ በኋላ፣ አሁንም አዳዲስ ሰዎችን ማከል እና እነዚህን ግለሰቦች በግል ማጠናቀር ይችላሉ።
ለ exampለ፣ ብልጭ ድርግም የሚሉ_የሚመሩ_ወላጅ_ዝግታ፣ ሶስትን የሚያጠፋውን አዲስ የህፃን ስብዕና ለመግለጽ፡-
- blinking_led_child_empty.sv ወደ blinking_led_chdild_off.sv ቅዳ።
- በብልጭልጭ_ሊድ_ልጅ_off.sv file, ምደባውን ማሻሻል, led_three_on = 1'b0 መመደብ; led_ሦስት ላይ = 1'b1 ለመመደብ;. የሞጁሉን ስም ከብልጭልጭ_ሊድ_child_ባዶ ወደ ብልጭ ድርግም_የሚል_ልጅ_መጥፋት መቀየርዎን ያረጋግጡ።
- በገጽ 16 ላይ የሲንቴሲስ-ብቻ ክለሳዎችን መፍጠር ላይ ያሉትን ደረጃዎች በመከተል አዲስ የተቀነባበረ ክለሳ ይፍጠሩ፣ blinking_led_child_off።
ማስታወሻ፡- ብልጭ ድርግም የሚለው_የተመራ_ልጅ_ጠፍቷል ማሻሻያ ብልጭ ድርግም የሚሉ_ልጅ_ኦፍ.sv መጠቀም አለበት። file. - አዲስ የትግበራ ክለሳ ይፍጠሩ blinking_led_pr_foxtrot፣ በገጽ 15 ላይ የአተገባበር ክለሳዎችን በመፍጠር ደረጃዎችን በመከተል።
- የ a10_hier_partial_reconfig/setup.tcl ያዘምኑ file አዲሱን የ PR ትግበራን ለመግለጽ፡-
- የሚከተለውን ትዕዛዝ በማስኬድ ይህን አዲስ ውህደት እና የትግበራ ማሻሻያ ብቻ ያሰባስቡ፡
ለIntel Arria 10 መሳሪያዎች ተዋረዳዊ ከፊል ዳግም ማዋቀርን በተመለከተ የተሟላ መረጃ ለማግኘት በIntel Quartus Prime Pro እትም መመሪያ መጽሐፍ ቅጽ 1 ውስጥ ከፊል መልሶ ማዋቀር ንድፍ መፍጠርን ይመልከቱ።
ተዛማጅ መረጃ
- ከፊል መልሶ ማዋቀር ንድፍ መፍጠር
- ከፊል ዳግም ማዋቀር የመስመር ላይ ስልጠና
የሰነድ ማሻሻያ ታሪክ
ሠንጠረዥ 5. የሰነድ ክለሳ ታሪክ
የሰነድ ሥሪት | የሶፍትዌር ሥሪት | ለውጦች |
2017.11.06 | 17.1.0 | • ተዘምኗል የማጣቀሻ ንድፍ መስፈርቶች ክፍል ከሶፍትዌር ሥሪት ጋር
• ተዘምኗል ጠፍጣፋ የማጣቀሻ ንድፍ ያለ PR ክፍልፍል ከዲዛይን እገዳ ለውጦች ጋር ምስል • ተዘምኗል የማጣቀሻ ንድፍ Files በ ላይ መረጃ ያለው ሰንጠረዥ Top_counter.sv ሞጁል • ተዘምኗል ከፊል ዳግም ማዋቀር የአይፒ ኮር ውህደት ከዲዛይን እገዳ ለውጦች ጋር ምስል • አሃዞችን አዘምኗል - የንድፍ ክፍልፍሎች መስኮት እና የሎጂክ መቆለፊያ ክልሎች መስኮት አዲሱን GUI ለማንፀባረቅ • File ስም ይቀየራል • የጽሑፍ አርትዖቶች |
2017.05.08 | 17.0.0 | የሰነዱ የመጀመሪያ መለቀቅ |
ሰነዶች / መርጃዎች
![]() |
ኢንቴል AN 805 በአሪያ 10 ሶሲ ልማት ቦርድ ላይ የንድፍ ከፊል ዳግም ማዋቀር [pdf] የተጠቃሚ መመሪያ በAria 805 SoC ልማት ቦርድ ላይ የንድፍ ኤን 10 ተዋረዳዊ ከፊል መልሶ ማዋቀር ልማት ቦርድ |