ኢንቴል አርማHDMI Arria 10 FPGA IP ንድፍ Example
የተጠቃሚ መመሪያintel HDMI Arria 10 FPGA IP ንድፍ ExampleHDMI Intel® Arria 10 FPGA አይፒ
ንድፍ Example የተጠቃሚ መመሪያ
ለ Intel®Quartus® ተዘምኗል
ዋና ንድፍ ስዊት: 22.4
የአይፒ ስሪት: 19.7.1

HDMI Intel® FPGA IP ንድፍ Exampለ Intel® Arria® 10 መሳሪያዎች ፈጣን ጅምር መመሪያ

የኤችዲኤምአይ ኢንቴል® 10 መሳሪያዎች የማስመሰል የሙከራ ቤንች እና የሃርድዌር ዲዛይን ማጠናቀር እና የሃርድዌር ሙከራን ይደግፋል።
FPGA IP ንድፍ ለምሳሌample ለ Intel Arria®
የኤችዲኤምአይ ኢንቴል FPGA IP የሚከተለውን ንድፍ ያቀርባል exampያነሰ፡

  • የኤችዲኤምአይ 2.1 RX-TX ንድፍ ከቋሚ ተመን አገናኝ (FRL) ሁነታ ነቅቷል።
  • የኤችዲኤምአይ 2.0 RX-TX ንድፍ ከFRL ሁነታ ጋር ተሰናክሏል።
  • HDCP ከኤችዲኤምአይ 2.0 ንድፍ በላይ

ማስታወሻ፡- የHDCP ባህሪው በIntel® Quartus Prime Pro Edition ሶፍትዌር ውስጥ አልተካተተም።
የHDCP ባህሪን ለመድረስ ኢንቴልን በ ላይ ያግኙ https://www.intel.com/content/www/us/en/broadcast/products/programmable/applications/connectivity-solutions.html.
ንድፍ ሲያመነጩ example, የመለኪያ አርታዒው በራስ-ሰር ይፈጥራል fileንድፉን በሃርድዌር ውስጥ ለማስመሰል፣ ለማጠናቀር እና ለመሞከር አስፈላጊ ነው።
ምስል 1. የእድገት ደረጃዎችintel HDMI Arria 10 FPGA IP ንድፍ Example - የእድገት ደረጃዎችተዛማጅ መረጃ
HDMI Intel FPGA IP የተጠቃሚ መመሪያ
1.1. ንድፉን በማመንጨት ላይ
ዲዛይኑን ለማመንጨት የኤችዲኤምአይ ኢንቴል FPGA IP ፓራሜትር አርታዒን በ Intel Quartus Prime ሶፍትዌር ይጠቀሙampሌስ. ኢንቴል ኮርፖሬሽን. መብቱ በህግ የተጠበቀ ነው. ኢንቴል፣ የኢንቴል አርማ እና ሌሎች የኢንቴል ምልክቶች የኢንቴል ኮርፖሬሽን ወይም የስርጭቱ የንግድ ምልክቶች ናቸው። ኢንቴል የኤፍፒጂኤ እና ሴሚኮንዳክተር ምርቶቹን በIntel መደበኛ ዋስትና መሰረት ለአሁኑ ዝርዝር መግለጫዎች ዋስትና ይሰጣል፣ነገር ግን በማናቸውም ምርቶች እና አገልግሎቶች ላይ ያለማሳወቂያ በማንኛውም ጊዜ ለውጦችን የማድረግ መብቱ የተጠበቀ ነው። ኢንቴል በዚህ ውስጥ የተገለጸውን ማንኛውንም መረጃ፣ ምርት ወይም አገልግሎት ከመተግበሩ ወይም ከመጠቀሙ የተነሳ ምንም አይነት ሃላፊነት ወይም ተጠያቂነት አይወስድም። የኢንቴል ደንበኞች በማናቸውም የታተመ መረጃ ላይ ከመታመንዎ በፊት እና ለምርቶች ወይም አገልግሎቶች ትእዛዝ ከማስቀመጥዎ በፊት የቅርብ ጊዜውን የመሳሪያ ዝርዝሮችን እንዲያገኙ ይመከራሉ። *ሌሎች ስሞች እና የንግድ ምልክቶች እንደሌሎች ንብረት ሊጠየቁ ይችላሉ።
ከኒዮስ ጀምሮ® II EDS በኢንቴል ኳርትስ ፕራይም ፕሮ እትም የሶፍትዌር ሥሪት 19.2 እና ኢንቴል ኳርትስ ፕራይም ስታንዳርድ እትም ሶፍትዌር ሥሪት 19.1፣ ኢንቴል የሲግዊን ክፍልን በዊንዶውስ* የኒዮስ II ኢዲኤስ ሥሪት አስወግዶ በዊንዶውስ* Subsytem for Linux (WSL) ተክቷል። የዊንዶውስ* ተጠቃሚ ከሆንክ የቀድሞ ንድፍህን ከማመንጨትህ በፊት WSL ን መጫን አለብህampለ.
ምስል 2. የንድፍ ፍሰት ማመንጨትintel HDMI Arria 10 FPGA IP ንድፍ Example - የንድፍ ፍሰት ማመንጨት

  1. የኢንቴል አሪያ 10 መሣሪያ ቤተሰብን ያነጣጠረ ፕሮጀክት ይፍጠሩ እና የሚፈልጉትን መሣሪያ ይምረጡ።
  2. በአይፒ ካታሎግ ውስጥ የበይነገጽ ፕሮቶኮሎችን ፈልግ እና ሁለቴ ጠቅ አድርግ ➤ ኦዲዮ እና ቪዲዮ ➤ HDMI Intel FPGA IP. አዲሱ የአይፒ ተለዋጭ ወይም አዲስ የአይፒ ልዩነት መስኮት ይታያል።
  3. ለእርስዎ ብጁ የአይፒ ልዩነት የከፍተኛ ደረጃ ስም ይግለጹ። የመለኪያ አርታዒው የአይፒ ልዩነት ቅንብሮችን ያስቀምጣል። file የሚል ስያሜ ተሰጥቶታል። .ip ወይም .qsys.
  4. እሺን ጠቅ ያድርጉ። የመለኪያ አርታዒው ይታያል.
  5. በአይፒ ትሩ ላይ ለሁለቱም TX እና RX የሚፈለጉትን መለኪያዎች ያዋቅሩ።
  6. HDMI 2.1 ንድፍ ለማመንጨት የድጋፍ FRL መለኪያን ያብሩample በ FRL ሁነታ። የ HDMI 2.0 ንድፍ ለማመንጨት ያጥፉት example ያለ FRL.
  7. በዲዛይን Example tab፣ Arria 10 HDMI RX-TX Retransmit የሚለውን ይምረጡ።
  8. የሙከራ ቤንች ለማመንጨት ሲሙሌሽን ይምረጡ እና የሃርድዌር ዲዛይን ለማመንጨት Synthesis የሚለውን ይምረጡample. ንድፍ ለማመንጨት ከእነዚህ አማራጮች ውስጥ ቢያንስ አንዱን መምረጥ አለብዎት example fileኤስ. ሁለቱንም ከመረጡ, የትውልድ ጊዜ ይረዝማል.
  9. ለማመንጨት File ቅርጸት ያድርጉ, Verilog ወይም VHDL ይምረጡ.
  10. ለዒላማ ልማት ኪት፣ Intel Aria 10 GX FPGA Development Kit የሚለውን ይምረጡ። የልማት ኪት ከመረጡ፣ የታለመው መሣሪያ (በደረጃ 4 ላይ የተመረጠ) በዒላማ ሰሌዳ ላይ ካለው መሣሪያ ጋር እንዲዛመድ ይለወጣል። ለIntel Arria 10 GX FPGA ልማት ኪት ነባሪ መሳሪያው 10AX115S2F4I1SG ነው።
  11. ፍጠርን ጠቅ ያድርጉ Example ንድፍ.

ተዛማጅ መረጃ
የዊንዶውስ * ንዑስ ሲስተም ለሊኑክስ* (WSL) በዊንዶውስ* ኦኤስ ላይ እንዴት መጫን ይቻላል?
1.2. ንድፉን ማስመሰል
የኤችዲኤምአይ የሙከራ ቤንች ተከታታይ loopback ንድፍ ከTX ምሳሌ ወደ አርኤክስ ምሳሌ ያስመስላል። የውስጥ ቪዲዮ ጥለት አመንጪ፣ ኦዲዮ sample ጄኔሬተር፣ የጎን ባንድ ዳታ ጀነሬተር እና ረዳት ዳታ ጄኔሬተር ሞጁሎች የኤችዲኤምአይ TX ምሳሌን ያንቀሳቅሳሉ እና ከTX ምሳሌ የሚመጣው ተከታታይ ውፅዓት በ testbench ውስጥ ካለው RX ምሳሌ ጋር ይገናኛል።
ምስል 3. የንድፍ የማስመሰል ፍሰትintel HDMI Arria 10 FPGA IP ንድፍ Example - የንድፍ ፍሰት ማመንጨት 1

  1. ወደ ተፈላጊው የማስመሰል አቃፊ ይሂዱ።
  2. ለመረጡት የሚደገፍ ሲሙሌተር የማስመሰል ስክሪፕቱን ያሂዱ። ስክሪፕቱ በሲሙሌተሩ ውስጥ ያለውን የሙከራ ቤንች ያጠናቅራል እና ያስኬዳል።
  3. ውጤቱን ይተንትኑ.

ሠንጠረዥ 1. ማስመሰልን ለማስኬድ ደረጃዎች

አስመሳይ የስራ ማውጫ መመሪያዎች
 ሪቪዬራ-PRO*  / ማስመሰል / aldec በትእዛዝ መስመር ውስጥ, ይተይቡ
vsim -c-do aldec.do
ሞዴል ሲም*  / ማስመሰል / መካሪ በትእዛዝ መስመር ውስጥ, ይተይቡ
vsim -c-do mentor.do
 ቪሲኤስ*  /ማስመሰል/ሲኖፕሲ/vcs በትእዛዝ መስመር ውስጥ, ይተይቡ
ምንጭ vcs_sim.sh
 ቪሲኤስ ኤምኤክስ  / ማስመሰል / ሲኖፕሲ / vcsmx በትእዛዝ መስመር ውስጥ, ይተይቡ
ምንጭ vcsmx_sim.sh
 Xcelium * ትይዩ  / ማስመሰል/xcelium በትእዛዝ መስመር ውስጥ, ይተይቡ
ምንጭ xcelium_sim.sh

የተሳካ የማስመሰል ስራ በሚከተለው መልእክት ያበቃል።
# ምልክቶች_በአንድ_ሰዓት = 2
# VIC = 4
# FRL_RATE = 0
# ቢፒፒ = 0
# የድምጽ_ድግግሞሽ (kHz) = 48
# ኦዲዮ_ቻናል = 8
# የማስመሰል ማለፊያ
1.3. ንድፉን ማሰባሰብ እና መሞከርintel HDMI Arria 10 FPGA IP ንድፍ Example - ንድፉን ማጠናቀር እና መሞከር

የማሳያ ሙከራን ለማጠናቀር እና በሃርድዌር exampንድፍ, የሚከተሉትን ደረጃዎች ይከተሉ:

  1. ሃርድዌር ያረጋግጡ exampየንድፍ ማመንጨት ተጠናቅቋል.
  2. የ Intel Quartus Prime ሶፍትዌርን ያስጀምሩ እና .qpf ን ይክፈቱ file.
    • HDMI 2.1 ንድፍ ምሳሌample with Support FRL ነቅቷል፡ የፕሮጀክት ማውጫ/quartus/a10_hdmi21_frl_demo.qpf
    • HDMI 2.0 ንድፍ ምሳሌample with Support FRL ተሰናክሏል፡ ፕሮጄክት irectory/quartus/a10_hdmi2_demo.qpf
  3. ማቀናበርን ጠቅ ያድርጉ ➤ ማጠናቀር ጀምር።
  4. ከተሳካ ጥንቅር በኋላ, አንድ .sof file በኳርትስ/ውጤት_ ውስጥ ይፈጠራልfiles ማውጫ.
  5. በቦርዱ ላይ ካለው የኤፍኤምሲ ወደብ B (J2) ጋር ይገናኙ፡
    • HDMI 2.1 ንድፍ ምሳሌample with Support FRL ነቅቷል፡Biec HDMI 2.1FMC ሴት ልጅ ካርድ Rev 9
    ማስታወሻ፡- የBiec HDMI ሴት ልጅ ካርድዎን ክለሳ መምረጥ ይችላሉ። በዲዛይን Exampለ ትር፣ የኤችዲኤምአይ ሴት ካርድ ክለሳን ወደ ክለሳ 9፣ ክለሳ ወይም ሴት ልጅ ካርድ አይውሰዱ። ነባሪው ዋጋ ክለሳ 9 ነው።
    • HDMI 2.0 ንድፍ ምሳሌample with Support FRL ተሰናክሏል፡ Biec HDMI 2.0 FMC ሴት ልጅ ካርድ ራእይ 11
  6. የBiec FMC ሴት ልጅ ካርድ TX (P1)ን ወደ ውጫዊ የቪዲዮ ምንጭ ያገናኙ።
  7. የBiec FMC ሴት ልጅ ካርድ RX (P2) ወደ ውጫዊ የቪዲዮ ማጠቢያ ወይም የቪዲዮ ተንታኝ ያገናኙ።
  8. በልማት ቦርዱ ላይ ያሉ ሁሉም ማብሪያዎች በነባሪ ቦታ ላይ መሆናቸውን ያረጋግጡ።
  9. የተፈጠረውን .sof በመጠቀም የተመረጠውን Intel Aria 10 መሣሪያን በልማት ሰሌዳው ላይ ያዋቅሩት file (መሳሪያዎች ➤ ፕሮግራመር)።
  10. ተንታኙ ከምንጩ የተፈጠረውን ቪዲዮ ማሳየት አለበት።

ተዛማጅ መረጃ
Intel Arria 10 FPGA ልማት ኪት የተጠቃሚ መመሪያ
1.4. HDMI Intel FPGA IP ንድፍ Example መለኪያዎች
ሠንጠረዥ 2.
HDMI Intel FPGA IP ንድፍ Example Parameters for Intel Arria 10 Devices እነዚህ አማራጮች ለIntel Arria 10 መሳሪያዎች ብቻ ይገኛሉ።

መለኪያ ዋጋ

መግለጫ

ይገኛል ንድፍ Example
ንድፍ ይምረጡ Arria 10 HDMI RX-TX እንደገና ማስተላለፍ ንድፍ ይምረጡ exampሊፈጠር ይችላል.

ንድፍ Example Files

ማስመሰል አብራ ፣ አጥፋ አስፈላጊውን ለማመንጨት ይህንን አማራጭ ያብሩ files ለ የማስመሰል testbench.
ውህደት አብራ ፣ አጥፋ አስፈላጊውን ለማመንጨት ይህንን አማራጭ ያብሩ files ለ Intel Quartus Prime ጥንቅር እና የሃርድዌር ማሳያ።

የመነጨ HDL ቅርጸት

ማመንጨት File ቅርጸት Verilog፣ VHDL ለተፈጠረው ንድፍ ለምሳሌ የእርስዎን ተመራጭ HDL ቅርጸት ይምረጡample fileአዘጋጅ.
ማስታወሻ፡- ይህ አማራጭ ለተፈጠረ ከፍተኛ ደረጃ አይፒ ቅርጸት ብቻ ነው የሚወስነው fileኤስ. ሁሉም ሌሎች files (ለምሳሌample testbenches እና ከፍተኛ ደረጃ files ለሃርድዌር ማሳያ) በVerilog HDL ቅርጸት ናቸው።

የዒላማ ልማት ኪት

ቦርድ ይምረጡ ምንም የልማት ስብስብ የለም, ለታለመው ንድፍ ለምሳሌ ሰሌዳውን ይምረጡampለ.
Arria 10 GX FPGA ልማት ኪት፣

ብጁ ልማት ኪት

• ምንም የልማት ኪት፡- ይህ አማራጭ ለዲዛይኑ ሁሉንም የሃርድዌር ገጽታዎች አያካትትም።ampለ. የአይፒ ኮር ሁሉንም የፒን ስራዎችን ወደ ምናባዊ ፒን ያዘጋጃል።
• Arria 10 GX FPGA Development Kit፡ ይህ አማራጭ በዚህ የግንባታ ኪት ላይ ካለው መሳሪያ ጋር እንዲመሳሰል የፕሮጀክቱን ኢላማ መሳሪያ በራስ ሰር ይመርጣል። የዒላማውን መሣሪያ በመጠቀም መለወጥ ይችላሉ የዒላማ መሣሪያን ይቀይሩ የቦርድ ክለሳዎ የተለየ የመሳሪያ ልዩነት ካለው መለኪያ። የአይፒ ኮር ሁሉንም የፒን ስራዎችን በእድገት ኪት መሰረት ያዘጋጃል።
• ብጁ ልማት ኪት፡- ይህ አማራጭ ዲዛይኑን ይፈቅዳልampከኢንቴል ኤፍፒጂኤ ጋር በሶስተኛ ወገን ማሻሻያ ኪት ላይ ለመሞከር። የፒን ምደባዎችን በራስዎ ማዘጋጀት ሊኖርብዎ ይችላል።

የዒላማ መሣሪያ

የዒላማ መሣሪያን ይቀይሩ አብራ ፣ አጥፋ ይህንን አማራጭ ያብሩ እና ለግንባታ ኪት የሚመረጠውን የመሳሪያ ልዩነት ይምረጡ።

HDMI 2.1 ንድፍ Example (FRL ድጋፍ = 1)

የ HDMI 2.1 ንድፍ ምሳሌampበ FRL ሁነታ አራት RX ቻናሎችን እና አራት TX ቻናሎችን የያዘ አንድ የኤችዲኤምአይ ምሳሌ ትይዩ loopback ያሳያል።
ሠንጠረዥ 3. HDMI 2.1 ንድፍ Example ለ Intel Aria 10 መሳሪያዎች

ንድፍ Example የውሂብ መጠን የሰርጥ ሁኔታ

Loopback አይነት

Arria 10 HDMI RX-TX እንደገና ማስተላለፍ • 12 ጊባበሰ (FRL)
• 10 ጊባበሰ (FRL)
• 8Gbps (FRL)
• 6 ጊባበሰ (FRL)
• 3 ጊባበሰ (FRL)
• <6 Gbps (TMDS)
ሲምፕሌክስ ከ FIFO ቋት ጋር ትይዩ

ባህሪያት

  • ዲዛይኑ በኤችዲኤምአይ 2.1 ማጠቢያ እና ምንጭ መካከል ቀጥተኛ የኤችዲኤምአይ ቪዲዮ ዥረት ማለፊያን ለማከናወን የ FIFO ቋቶችን ያፋጥናል።
  • ዲዛይኑ በሩጫ ጊዜ በFRL ሁነታ እና በTMDS ሁነታ መካከል መቀያየር ይችላል።
  • ዲዛይኑ የ LED ሁኔታን ለቅድመ ማረም s ይጠቀማልtage.
  • ዲዛይኑ ከኤችዲኤምአይ RX እና TX ምሳሌዎች ጋር አብሮ ይመጣል።
  • ዲዛይኑ በ RX-TX ማገናኛ ሞጁል ውስጥ የ Dynamic Range እና Mastering (HDR) InfoFrame ማስገባት እና ማጣራት ያሳያል።
  • ዲዛይኑ ከTX ጋር በተገናኘው ማጠቢያ ገንዳ እና ከ RX ጋር በተገናኘው ምንጭ መካከል ያለውን የFRL መጠን ይደራደራል። ዲዛይኑ በነባሪ ውቅር ከውጪው ማጠቢያ ወደ ላይ-ቦርድ RX በኤዲአይዲ በኩል ያልፋል። የኒዮስ II ፕሮሰሰር ከTX ጋር በተገናኘው የእቃ ማጠቢያ አቅም ላይ የአገናኝ መሰረቱን ይደራደራል። የTX እና RX FRL ችሎታዎችን እራስዎ ለመቆጣጠር የተጠቃሚ_ዲፕስ ኦን-ቦርድ መቀያየርን መቀየር ይችላሉ።
  • ዲዛይኑ በርካታ የማረሚያ ባህሪያትን ያካትታል.
    የ RX ምሳሌ የቪዲዮ ምንጭን ከውጫዊው የቪዲዮ ጄነሬተር ይቀበላል እና ውሂቡ ወደ TX ምሳሌ ከመተላለፉ በፊት በ FIFO loopback በኩል ያልፋል። ተግባራዊነቱን ለማረጋገጥ ውጫዊ የቪዲዮ ተንታኝ፣ ሞኒተር ወይም ቴሌቪዥን ከኤችዲኤምአይ ጋር ግንኙነት ከ TX ኮር ጋር ማገናኘት አለቦት።

2.1. ኤችዲኤምአይ 2.1 RX-TX የንድፍ አግድ ሥዕላዊ መግለጫ
የኤችዲኤምአይ RX-TX ድጋሚ ማስተላለፊያ ንድፍ ምሳሌample ትይዩ loopback በ simplex ቻናል ሁነታ ለኤችዲኤምአይ 2.1 ከድጋፍ FRL ነቅቷል።
ምስል 4. ኤችዲኤምአይ 2.1 RX-TX የማገጃ ንድፍን እንደገና ማስተላለፍintel HDMI Arria 10 FPGA IP ንድፍ Example - አግድ ንድፍ2.2. RX-ብቻ ወይም TX-ብቻ ንድፍ መፍጠርns
ለላቁ ተጠቃሚዎች፣ TX- ወይም RX-ብቻ ንድፍ ለመፍጠር የ HDMI 2.1 ንድፍ መጠቀም ይችላሉ።
ምስል 5. ለ RX-ብቻ ወይም TX-ብቻ ንድፍ የሚያስፈልጉ አካላትintel HDMI Arria 10 FPGA IP ንድፍ Example - አግድ ንድፍ 1RX- ወይም TX-ብቻ ክፍሎችን ለመጠቀም ከንድፍ ውስጥ አግባብነት የሌላቸውን ብሎኮች ያስወግዱ።
ሠንጠረዥ 4. RX-ብቻ እና TX-ብቻ ንድፍ መስፈርቶች

የተጠቃሚ መስፈርቶች ጠብቅ አስወግድ

አክል

HDMI RX ብቻ RX ከፍተኛ • TX ከፍተኛ
• RX-TX አገናኝ
• ሲፒዩ ንዑስ ስርዓት
• አስተላላፊ አርቢተር
HDMI TX ብቻ • ቲክስ ከፍተኛ
• ሲፒዩ ንዑስ ስርዓት
• አርኤክስ ከፍተኛ
• RX-TX አገናኝ
• አስተላላፊ አርቢተር
የቪዲዮ ጥለት ጀነሬተር(ብጁ ሞጁል ወይም ከቪዲዮ እና ምስል ማቀነባበሪያ (VIP) Suite የመነጨ)

ከ RTL ለውጦች በተጨማሪ ዋና.c ስክሪፕትን ማስተካከል ያስፈልግዎታል።
• ለኤችዲኤምአይ ቲኤክስ-ብቻ ዲዛይኖች የኤችዲኤምአይ አርኤክስ መቆለፊያ ሁኔታ የሚከተሉትን መስመሮች በማውጣት የሚጠብቀውን ጊዜ ያላቅቁ እና በ
tx_xcvr_reconfig(tx_frl_rate);
rx_hdmi_lock = READ_PIO(PIO_IN0_BASE፣PIO_RX_LOCKED_OFFSET፣
PIO_RX_LOCKED_WIDTH);
ሳለ (rx_hdmi_መቆለፊያ == 0) {
ከሆነ (check_hpd_isr ()) {ሰበር; }
// rx_vid_lock = READ_PIO(PIO_IN0_BASE፣ PIO_VID_LOCKED_OFFSET፣
PIO_VID_LOCKED_WIDTH);
rx_hdmi_lock = READ_PIO(PIO_IN0_BASE፣PIO_RX_LOCKED_OFFSET፣
PIO_RX_LOCKED_WIDTH);
// rx ከተቆለፈ በኋላ Tx እንደገና ያዋቅሩ
ከሆነ (rx_hdmi_መቆለፊያ == 1) {
ከሆነ (READ_PIO(PIO_IN0_BASE፣PIO_LOOPBACK_MODE_OFFSET፣
PIO_LOOPBACK_MODE_WIDTH) == 1) {
rx_frl_rate = READ_PIO(PIO_IN0_BASE፣ PIO_RX_FRL_RATE_OFFSET፣
PIO_RX_FRL_RATE_WIDTH);
tx_xcvr_reconfig(rx_frl_rate);
} ሌላ {
tx_xcvr_reconfig(tx_frl_rate);
}}
• ለኤችዲኤምአይ RX-ብቻ ዲዛይኖች የሚከተሉትን መስመሮች ብቻ በ main.c ስክሪፕት ያስቀምጡ፡
REDRIVER_INIT ();
hdmi_rx_init ();
2.3. የሃርድዌር እና የሶፍትዌር መስፈርቶች
ኢንቴል ዲዛይኑን ለመፈተሽ የሚከተለውን ሃርድዌር እና ሶፍትዌር ይጠቀማልampለ.
ሃርድዌር

  • Intel Arria 10 GX FPGA ልማት ኪት
  • HDMI 2.1 ምንጭ (ኳንተም ዳታ 980 48ጂ ጄኔሬተር)
  • ኤችዲኤምአይ 2.1 ሲንክ (ኳንተም ዳታ 980 48ጂ ተንታኝ)
  • Biec HDMI FMC 2.1 ሴት ልጅ ካርድ (ክለሳ 9)
  • HDMI 2.1 ምድብ 3 ኬብሎች (በቤልኪን 48Gbps HDMI 2.1 Cable ተፈትኗል)

ሶፍትዌር

  • Intel Quartus Prime Pro እትም ሶፍትዌር ስሪት 20.1

2.4. ማውጫ መዋቅር
ማውጫዎቹ የተፈጠረውን ይዘዋል። files ለ HDMI Intel FPGA IP ንድፍ ምሳሌampለ.
ምስል 6. ማውጫ መዋቅር ለንድፍ Exampleintel HDMI Arria 10 FPGA IP ንድፍ Example - ንድፍ Exampleሠንጠረዥ 5. የተፈጠረ RTL Files

አቃፊዎች Files/ንዑስ አቃፊዎች
የተለመደ clock_control.ip
clock_crosser.v
dcfifo_inst.v
edge_detector.sv
fifo.ip
ውፅዓት_buf_i2c.ip
የሙከራ_ንድፍ_gen.v
tpg.v
tpg_data.v
gxb gxb_rx.ip
gxb_rx_reset.ip
gxb_tx.ip
gxb_tx_fpll.ip
gxb_tx_reset.ip
hdmi_rx hdmi_rx.ip
hdmi_rx_top.v
Panasonic.hex
hdmi_tx hdmi_tx.ip
hdmi_tx_top.v
i2c_ባሪያ i2c_avl_mst_intf_gen.v
i2c_clk_cnt.v
i2c_condt_det.v
i2c_databuffer.v
i2c_rxshifter.v
i2c_slvfsm.v
i2c_spksup.v
i2c_txout.v
i2c_txshifter.v
i2cslave_to_avlmm_bridge.v
pll pll_hdmi_reconfig.ip
pll_frl.ip
pll_reconfig_ctrl.v
pll_tmds.ip
pll_vidclk.ip
quartus.ini
rxtx_link altera_hdmi_hdr_infoframe.v
aux_mux.qsys
aux_retransmit.v
aux_src_gen.v
ext_aux_filter.v
rxtx_link.v
scfifo_vid.ip
እንደገና ማዋቀር mr_rx_iopll_tmds/
ሚስተር_ርክስፊ/
mr_tx_fpll/
altera_xcvr_functions.sv
mr_compare.sv
mr_rate_detect.v
mr_rx_rates_detect_top.v
mr_rx_rcfg_ctrl.v
mr_rx_reconfig.v
mr_tx_ተመን_ከላይ.v
mr_tx_rcfg_ctrl.v
mr_tx_reconfig.v
rcfg_array_streamer_iopll.sv
rcfg_array_streamer_rxphy.sv
rcfg_array_streamer_rxphy_xn.sv
rcfg_array_streamer_txphy.sv
rcfg_array_streamer_txphy_xn.sv
rcfg_array_streamer_txpll.sv
ኤስዲሲ a10_hdmi2.sdc
jtag.ኤስ.ዲ.ሲ

ሠንጠረዥ 6. የተፈጠረ ማስመሰል Files
የሚለውን ተመልከት የማስመሰል Testbench ክፍል ለበለጠ መረጃ

አቃፊዎች Files
aldec /aldec.do
/rivierapro_setup.tcl
ግልጽነት /cds.lib
/hdl.var
መካሪ /mentor.do
/msim_setup.tcl
ሲኖፕሲዎች /ቪሲኤስ/fileዝርዝር.f
/vcs/vcs_setup.sh
/vcs/vcs_sim.sh
/vcsmx/synopsys_sim_setup
/vcsmx/vcsmx_setup.sh
/vcsmx/vcsmx_sim.sh
xcelium /cds.lib
/hdl.var
/xcelium_setup.sh
/xcelium_sim.sh
የተለመደ /ሞዴልሲም_files.tcl
/ሪቪዬራ_files.tcl
/ቪሲኤስ_files.tcl
/vcsmx_files.tcl
/xcelium_files.tcl
hdmi_rx /hdmi_rx.ip
/Panasonic.hex
hdmi_tx /hdmi_tx.ip

ሠንጠረዥ 7. የመነጨ ሶፍትዌር Files

አቃፊዎች Files
tx_control_src
ማስታወሻ፡- tx_control አቃፊው የእነዚህን ቅጂዎች ይዟል files.
global.h
hdmi_rx.c
hdmi_rx.h
hdmi_tx.c
hdmi_tx.h
hdmi_tx_read_edid.c
hdmi_tx_read_edid.h
intel_fpga_i2c.c
intel_fpga_i2c.h
ዋና.ሲ
pio_read_write.c
pio_አንብብ_ጻፍ.ህ

2.5. የንድፍ እቃዎች
የ HDMI Intel FPGA IP ንድፍ ምሳሌample የጋራ ከፍተኛ-ደረጃ ክፍሎችን እና HDMI TX እና RX ከፍተኛ ክፍሎችን ያካትታል።
2.5.1. HDMI TX ክፍሎች
የኤችዲኤምአይ TX ከፍተኛ ክፍሎች የTX ኮር ከፍተኛ-ደረጃ ክፍሎችን እና IOPLL፣ transceiver PHY reset controller፣ transceiver ቤተኛ PHY፣ TX PLL፣ TX ዳግም ማዋቀር አስተዳደር እና የውጤት ቋት ብሎኮችን ያካትታሉ።
ምስል 7. HDMI TX ከፍተኛ ክፍሎችintel HDMI Arria 10 FPGA IP ንድፍ Example - ከፍተኛ ክፍሎችሠንጠረዥ 8. HDMI TX ከፍተኛ ክፍሎች

ሞጁል

መግለጫ

HDMI TX ኮር አይፒው የቪዲዮ ውሂብን ከላይኛው ደረጃ ይቀበላል እና ረዳት ዳታ ኢንኮዲንግ፣ የድምጽ ዳታ ኢንኮዲንግ፣ የቪዲዮ ዳታ ኢንኮዲንግ፣ ስክራምንግ፣ TMDS ኢንኮዲንግ ወይም ፓኬት ማድረግን ያከናውናል።
IOPLL IOPLL (iopll_frl) ለTX ኮር የFRL ሰዓት ያመነጫል። ይህ የማጣቀሻ ሰዓት TX FPLL የውጤት ሰዓት ይቀበላል።
የFRL የሰዓት ድግግሞሽ = የውሂብ መጠን በአንድ መስመር x 4/(የFRL ቁምፊዎች በሰዓት x 18)
ትራንስሴቨር PHY ዳግም ማስጀመር መቆጣጠሪያ የ Transceiver PHY ዳግም ማስጀመሪያ መቆጣጠሪያ የTX transceivers አስተማማኝ ጅምር ያረጋግጣል። የዚህ መቆጣጠሪያ ዳግም ማስጀመሪያ ግብዓት ከላይኛው ደረጃ ተነስቷል፣ እና በብሎክ ውስጥ ባለው ዳግም ማስጀመሪያ ቅደም ተከተል መሰረት ተጓዳኝ የአናሎግ እና ዲጂታል ዳግም ማስጀመሪያ ሲግናልን ወደ ትራንስሴቨር Native PHY ብሎክ ያመነጫል።
ከዚህ ብሎክ የሚገኘው tx_ready ውፅዓት ሲግናል ለኤችዲኤምአይ ኢንቴል ኤፍፒጂኤ አይ ፒ ዳግም ማስጀመሪያ ሲግናል ትራንስሴይቨር መስራቱን እና እየሰራ መሆኑን እና ከዋናው መረጃ ለመቀበል መዘጋጀቱን ያሳያል።
አስተላላፊ ቤተኛ PHY ትይዩ ዳታውን ከኤችዲኤምአይ TX ኮር የሚቀበል እና ውሂቡን እንዳያስተላልፍ ተከታታይ የሚያደርግ ሃርድ ትራንስቨር ብሎክ።
ማስታወሻ፡- የኤችዲኤምአይ TX ኢንተር-ቻናል skew መስፈርትን ለማሟላት፣ በIntel Arria 10 Transceiver Native PHY parameter editor ውስጥ የTX ቻናል ትስስር ሁነታን ያቀናብሩ። ፒኤምኤ እና ፒሲኤስ ትስስር. እንዲሁም ከፍተኛውን skew (set_max_skew) የግዳጅ መስፈርት ከትራንስሲቨር ዳግም ማስጀመሪያ መቆጣጠሪያ (tx_digitalreset) ወደ ዲጂታል ዳግም ማስጀመሪያ ሲግናል መጨመር አለብህ። Intel Arria 10 Transceiver PHY የተጠቃሚ መመሪያ.
TX PLL አስተላላፊው PLL ብሎክ ተከታታይ ፈጣን ሰዓቱን ለ Transceiver Native PHY ብሎክ ያቀርባል። ለዚህ ኤችዲኤምአይ ኢንቴል FPGA IP ንድፍ ምሳሌample, fPLL እንደ TX PLL ጥቅም ላይ ይውላል.
TX PLL ሁለት የማጣቀሻ ሰዓቶች አሉት።
• የማጣቀሻ ሰዓት 0 ለ TMDS ሁነታ በፕሮግራም ሊሰራ ከሚችለው oscillator (ከ TMDS የሰዓት ድግግሞሽ ጋር) ተገናኝቷል። በዚህ ንድፍ ውስጥ example, RX TMDS ሰዓት ለ TMDS ሁነታ ከማጣቀሻ ሰዓት 0 ጋር ለመገናኘት ይጠቅማል. ኢንቴል ለማጣቀሻ ሰዓት 0 ከTMDS የሰዓት ድግግሞሽ ጋር በፕሮግራም ሊሰራ የሚችል oscillator እንድትጠቀም ይመክራል።
• የማመሳከሪያ ሰዓት 1 ከ 100 ሜኸር ሰዓት ለ FRL ሁነታ ቋሚ ጋር ተገናኝቷል.
TX ዳግም ማዋቀር አስተዳደር • በTMDS ሁነታ፣ የቲኤክስ መልሶ ማዋቀር አስተዳደር ብሎክ TX PLL ን ለተለያዩ የውጤት ሰዓቶች ድግግሞሽ በተወሰነው ቪዲዮ TMDS የሰዓት ድግግሞሽ መጠን እንደገና ያዋቅረዋል።
• በFRL ሁነታ፣ የTX ዳግም ማዋቀር አስተዳደር ብሎክ TX PLLን እንደገና በማዋቀር ተከታታይ ፈጣን ሰዓቱን ለ3 Gbps፣ 6 Gbps፣ 8 Gbps፣ 10 Gbps እና 12 Gbps በ FRL_Rate መስክ በ0x31 SCDC መዝገብ።
• የTX መልሶ ማዋቀር አስተዳደር ብሎክ የ TX PLL ማመሳከሪያ ሰዓቱን በማጣቀሻ ሰዓት 0 ለTMDS ሁነታ እና ማጣቀሻ ሰዓት 1 ለ FRL ሁነታ ይቀይራል።
የውጤት ቋት ይህ ቋት የኤችዲኤምአይ ዲዲሲን እና የመቀየሪያ ክፍሎችን የI2C በይነገጽ ለመስተጋብር እንደ በይነገጽ ይሰራል።

ሠንጠረዥ 9.Transceiver Data Rate እና Oversampling Factor እያንዳንዱ የሰዓት ድግግሞሽ ክልል

ሁነታ የውሂብ መጠን ኦቨርስampler 1 (2x oversampለ) ኦቨርስampler 2 (4x oversampለ) ኦቨርስample ምክንያት ኦቨርስampየሚመራ የውሂብ መጠን (Mbps)
TMDS 250-1000 እ.ኤ.አ On On 8 2000-8000 እ.ኤ.አ
TMDS 1000-6000 እ.ኤ.አ On ጠፍቷል 2 2000-12000 እ.ኤ.አ
FRL 3000 ጠፍቷል ጠፍቷል 1 3000
FRL 6000 ጠፍቷል ጠፍቷል 1 6000
FRL 8000 ጠፍቷል ጠፍቷል 1 8000
FRL 10000 ጠፍቷል ጠፍቷል 1 10000
FRL 12000 ጠፍቷል ጠፍቷል 1 12000

ምስል 8. TX ዳግም ማዋቀር ቅደም ተከተል ፍሰትintel HDMI Arria 10 FPGA IP ንድፍ Example - ንድፉን ማጠናቀር እና መሞከር 12.5.2. HDMI RX ክፍሎች
የኤችዲኤምአይ RX ከፍተኛ ክፍሎች የ RX ኮር ከፍተኛ ደረጃ ክፍሎችን፣ አማራጭ I²C ባሪያ እና ኤዲዲ ራም፣ IOPLL፣ transceiver PHY ዳግም ማስጀመሪያ መቆጣጠሪያ፣ RX ቤተኛ PHY እና የ RX ዳግም ውቅረት አስተዳደር ብሎኮችን ያካትታሉ።
ምስል 9. HDMI RX ከፍተኛ ክፍሎችintel HDMI Arria 10 FPGA IP ንድፍ Example - ከፍተኛ ክፍሎች 1ሠንጠረዥ 10. HDMI RX ከፍተኛ ክፍሎች

ሞጁል

መግለጫ

HDMI RX ኮር አይፒው ተከታታይ ውሂቡን ከTranceiver Native PHY ይቀበላል እና የውሂብ አሰላለፍን፣ የሰርጥ ዴስኬውን፣ TMDS ዲኮዲንግን፣ ረዳት ውሂብን መፍታትን፣ የቪዲዮ ውሂብን መግለጥን፣ የድምጽ ውሂብን መፍታት እና መፍታትን ያከናውናል።
I2C ባሪያ I2C ለሲንክ ማሳያ ዳታ ቻናል (ዲዲሲ) እና ሁኔታ እና ዳታ ቻናል (SCDC) የሚያገለግል በይነገጽ ነው። የኤችዲኤምአይ ምንጭ የተሻሻለ የተራዘመ የማሳያ መለያ መረጃን (ኢ-ኢዲአይዲ) የውሂብ መዋቅርን በማንበብ የመታጠቢያ ገንዳውን አቅም እና ባህሪያት ለመወሰን ዲዲሲን ይጠቀማል።
የE-EDID ባለ 8-ቢት I2C ባሪያ አድራሻዎች 0xA0 እና 0xA1 ናቸው። LSB የመዳረሻ አይነትን ይጠቁማል፡ 1 ለንባብ እና 0 ለመፃፍ። የኤችፒዲ ክስተት ሲከሰት፣ የI2C ባሪያ ከቺፕ ላይ በማንበብ ለኢ-ኢዲአይዲ መረጃ ምላሽ ይሰጣል።
የ I2C ባሪያ-ብቻ መቆጣጠሪያ SCDCን ለኤችዲኤምአይ 2.0 እና 2.1 ይደግፋል ባለ 9-ቢት I2C የ SCDC የባሪያ አድራሻ 0xA8 እና 0xA9 ናቸው። የኤችፒዲ ክስተት ሲከሰት፣ የI2C ባሪያ የኤችዲኤምአይ RX ኮር ወደ SCDC በይነገጽ ወይም ከጽሑፍ ወይም ከማንበብ ግብይት ያከናውናል።
የFixed Rate Link (FRL) አገናኝ ማሰልጠኛ ሂደት በI2C በኩል ይከሰታል በHPD ክስተት ወይም ምንጩ የተለየ የFRL ተመን ለFRL Rate መዝገብ ሲጽፍ (SCDC 0x31 ቢት[3:0] ይመዘግባል)፣ የአገናኝ ስልጠና ሂደት ይጀምራል።
ማስታወሻ፡- ኤችዲኤምአይ 2 ወይም ኤችዲኤምአይ 2.0 የታሰበ ካልሆነ ይህ የአይ2.1ሲ ባሪያ-ብቻ መቆጣጠሪያ ለSCDC አያስፈልግም
ኤዲዲ ራም ዲዛይኑ RAM 1-Port IP በመጠቀም የኤዲአይዲ መረጃን ያከማቻል። መደበኛ ባለ ሁለት ሽቦ (ሰዓት እና ዳታ) ተከታታይ አውቶቡስ ፕሮቶኮል (I2C ባሪያ-ብቻ መቆጣጠሪያ) የ CEA-861-D Compliant E-EDID ውሂብ መዋቅር ያስተላልፋል። ይህ ኢዲአይዲ ራም የE-EDID መረጃን ያከማቻል።
• በTMDS ሁነታ ላይ ሲሆን ዲዛይኑ የኤዲአይዲ ማለፊያ ከTX ወደ RX ይደግፋል። በ EDID passthrough ጊዜ፣ TX ከውጭ ማጠቢያ ገንዳ ጋር ሲገናኝ፣ የኒዮስ II ፕሮሰሰር ኢዲአይዲውን ከውጭ ማጠቢያው አንብቦ ወደ EDID RAM ይጽፋል።
• በFRL ሁነታ ላይ የኒዮስ II ፕሮሰሰር ለእያንዳንዱ አገናኝ ፍጥነት በHDMI_RX_MAX_FRL_RATE መለኪያ በglobal.h ስክሪፕት ላይ በመመስረት አስቀድሞ የተዋቀረውን ኢዲአይዲ ይጽፋል።
ለሚደገፈው የFRL ተመን የሚከተለውን የ HDMI_RX_MAX_FRL_RATE ግብዓቶችን ይጠቀሙ፡-
• 1፡ 3ጂ 3 መስመሮች
• 2፡ 6ጂ 3 መስመሮች
•3፡ 6ጂ 4 መስመሮች
• 4፡ 8ጂ 4 መስመሮች
•5፡ 10ጂ 4 መስመሮች (ነባሪ)
•6፡ 12ጂ 4 መስመሮች
IOPLL HDMI RX ሁለት IOPLLዎችን ይጠቀማል።
• የመጀመሪያው IOPLL (pll_tmds) የ RX ሲዲአር ማመሳከሪያ ሰዓት ያመነጫል። ይህ IOPLL በTMDS ሁነታ ብቻ ጥቅም ላይ ይውላል። የዚህ IOPLL ማመሳከሪያ ሰዓት የTMDS ሰዓት ይቀበላል። የTMDS ሁነታ ይህንን IOPLL ይጠቀማል ምክንያቱም ሲዲአር ከ50 ሜኸር በታች የማመሳከሪያ ሰዓቶችን መቀበል ስለማይችል እና የTMDS ሰዓት ድግግሞሽ ከ25 MHz እስከ 340 MHz ይደርሳል። ይህ IOPLL ከ5 MHz እስከ 25 MHz መካከል ያለው የድግግሞሽ መጠን ከግብዓት ማመሳከሪያ ሰአቱ 50 ጊዜ የሆነ የሰዓት ድግግሞሽ ያቀርባል እና ከ50 MHz እስከ 340 MHz መካከል ያለው የድግግሞሽ መጠን የግቤት ማመሳከሪያ ሰዓት ጋር ተመሳሳይ የሰዓት ድግግሞሽ ያቀርባል።
• ሁለተኛው IOPLL (iopll_frl) ለ RX ኮር የFRL ሰዓት ያመነጫል። ይህ የማጣቀሻ ሰዓት CDR የተመለሰውን ሰዓት ይቀበላል።
የFRL የሰዓት ድግግሞሽ = የውሂብ መጠን በአንድ መስመር x 4/(የFRL ቁምፊዎች በሰዓት x 18)
ትራንስሴቨር PHY ዳግም ማስጀመር መቆጣጠሪያ የ Transceiver PHY ዳግም ማስጀመሪያ መቆጣጠሪያ የ RX ትራንስሴይቨሮች አስተማማኝ ጅምርን ያረጋግጣል። የዚህ መቆጣጠሪያ ዳግም ማስጀመሪያ ግብአት በRX ዳግም ማዋቀር የተቀሰቀሰ ሲሆን በብሎኩ ውስጥ ባለው የዳግም ማስጀመሪያ ቅደም ተከተል መሰረት ተጓዳኝ የአናሎግ እና ዲጂታል ዳግም ማስጀመሪያ ሲግናልን ወደ ትራንስሲቨር Native PHY ብሎክ ያመነጫል።
RX ቤተኛ PHY ተከታታይ ውሂቡን ከውጭ የቪዲዮ ምንጭ የሚቀበል ሃርድ ትራንስቨር ብሎክ። ውሂቡን ወደ ኤችዲኤምአይ RX ኮር ከማስተላለፉ በፊት የመለያ ውሂቡን ወደ ትይዩ ዳታ ያደርገዋል። ይህ እገዳ በተሻሻለ PCS ለFRL ሁነታ ይሰራል።
RX CDR ሁለት የማጣቀሻ ሰዓቶች አሉት።
• የማመሳከሪያ ሰዓት 0 ከ TMDS ሰዓት ከሚገኘው IOPLL TMDS (pll_tmds) የውጤት ሰዓት ጋር ተገናኝቷል።
• የማጣቀሻ ሰዓት 1 ከቋሚ 100 ሜኸር ሰዓት ጋር ተገናኝቷል። በTMDS ሁነታ፣ RX CDR የማጣቀሻ ሰዓት 0ን ለመምረጥ እንደገና ተዋቅሯል፣ እና በFRL ሁነታ፣ RX CDR የማጣቀሻ ሰዓት 1ን ለመምረጥ እንደገና ተዋቅሯል።
RX ዳግም ማዋቀር አስተዳደር በTMDS ሁነታ፣ የRX መልሶ ማዋቀር አስተዳደር ብሎክ የ RX ትራንስሴይቨርን ለመንዳት ከ250Mbps እስከ 6,000Mbps በሚደርስ በማንኛውም የዘፈቀደ አገናኝ ፍጥነት እንዲሠራ የፍጥነት ማወቂያ ወረዳን ከኤችዲኤምአይ PLL ጋር ተግባራዊ ያደርጋል።
በFRL ሁነታ፣ የRX ዳግም ማዋቀር አስተዳደር ብሎክ RX transceiverን በ 3 Gbps፣ 6 Gbps፣ 8 Gbps፣ 10 Gbps፣ ወይም 12 Gbps በ FRL መጠን በSCDC_FRL_RATE መመዝገቢያ መስክ (0x31[3፡0]) ላይ በመመስረት እንዲሰራ እንደገና ያዋቅረዋል። የRX መልሶ ማዋቀር አስተዳደር በመደበኛ PCS/RX መካከል ይቀያየራል።
ለ TMDS ሁነታ እና የተሻሻለ ፒሲኤስ ለ FRL ሁነታ። ተመልከት ምስል 10 በገጽ 22 ላይ።

ምስል 10. የ RX ዳግም ማዋቀር ቅደም ተከተል ፍሰት
ምስሉ የመቆጣጠሪያው የግቤት ዳታ ዥረት እና የማጣቀሻ ሰዓት ድግግሞሽ ሲቀበል ወይም ትራንስሴይቨር ሲከፈት የባለብዙ-ተመን ዳግም ማዋቀር ቅደም ተከተል ፍሰት ያሳያል።intel HDMI Arria 10 FPGA IP ንድፍ Example - ንድፉን ማጠናቀር እና መሞከር 22.5.3. ከፍተኛ ደረጃ የጋራ ብሎኮች
የከፍተኛ ደረጃ የጋራ ብሎኮች ትራንስሲቨር አርቢተርን፣ የ RX-TX አገናኝ ክፍሎችን እና የሲፒዩ ንዑስ ስርዓትን ያካትታሉ።
ሠንጠረዥ 11. ከፍተኛ-ደረጃ የጋራ ብሎኮች

ሞጁል

መግለጫ

አስተላላፊ አርቢተር RX ወይም TX transceivers በተመሳሳዩ የአካላዊ ቻናል ውስጥ እንደገና ማዋቀር ሲፈልጉ ይህ አጠቃላይ የተግባር ማገጃ ትራንስሰቨሮች በአንድ ጊዜ እንደገና እንዲለኩ ይከላከላል። በአንድ ጊዜ ያለው የዳግም ማስተካከያ በተመሳሳይ ቻናል ውስጥ ያሉ RX እና TX transceivers ለገለልተኛ የአይፒ ትግበራዎች በተመደቡባቸው መተግበሪያዎች ላይ ተጽዕኖ ያሳድራል።
ይህ አስተላላፊ አርቢትር ሲምፕሌክስ ቲኤክስ እና ሲምፕሌክስ አርኤክስን ወደ ተመሳሳዩ አካላዊ ቻናል ለማዋሃድ ለሚመከረው ጥራት ማራዘሚያ ነው። ይህ ትራንስሴይቨር አርቢትር የአቫሎን ሜሞሪ-ካርታ ያለው RX እና TX የመልሶ ማዋቀር ጥያቄዎችን በአንድ ሰርጥ ውስጥ የሚያነጣጥሩ የቀላልክስ RX እና TX ትራንስሴይቨሮችን በማዋሃድ እና በማግባባት ይረዳል።
በዚህ ንድፍ የቀድሞ ውስጥ በትራንስሲቨር አርቢተር እና በTX/RX Native PHY/PHY ዳግም ማስጀመሪያ መቆጣጠሪያ መካከል ያለው የበይነገጽ ግንኙነትample የትራንሴቨር አርቢተርን በመጠቀም ለማንኛውም የአይፒ ጥምር የሚተገበር አጠቃላይ ሁነታን ያሳያል። በአንድ ቻናል ውስጥ RX ወይም TX transceiver ጥቅም ላይ ሲውል የትራንስሲቨር አርቢተር አያስፈልግም።
ትራንስሴይቨር አርቢተር የዳግም ውቅር ጠያቂውን በአቫሎን ሜሞሪ ካርታ በተዘጋጀው የመልሶ ማዋቀር በይነ ገፅ ይለያል እና ተዛማጅ tx_reconfig_cal_busy ወይም rx_reconfig_cal_busy በዚሁ መሰረት መዘጋቱን ያረጋግጣል።
ለኤችዲኤምአይ አፕሊኬሽኖች፣ RX ብቻ ዳግም ማዋቀርን ይጀምራል። ዳኛው የአቫሎን ሜሞሪ-ካርታ መልሶ ማዋቀር ጥያቄን በግልግል በኩል በማሰራጨት የመልሶ ማዋቀር ጥያቄው ከ RX እንደመጣ ይገልፃል፣ ከዚያም tx_reconfig_cal_busy ከማስረጃ በሮች እና rx_reconfig_cal_busy እንዲያረጋግጥ ያስችለዋል። ጌቲንግ የTX transceiver ሳይታሰብ ወደ ካሊብሬሽን ሁነታ እንዳይንቀሳቀስ ይከላከላል።
ማስታወሻ፡- ኤችዲኤምአይ የ RX ዳግም ማዋቀርን ብቻ ስለሚያስፈልገው tx_reconfig_mgmt_* ምልክቶች ጠፍተዋል። እንዲሁም፣ የአቫሎን ሜሞሪ-ካርታ ያለው በይነገጽ በአራቢተር እና በTX Native PHY ብሎክ መካከል አያስፈልግም። ማገጃዎቹ በንድፍ ውስጥ ባለው በይነገጽ ላይ ተመድበዋል exampከTX/RX ቤተኛ PHY/PHY ዳግም ማስጀመሪያ መቆጣጠሪያ ጋር አጠቃላይ ትራንሴይቨር አርቢትር ግንኙነትን ለማሳየት።
RX-TX አገናኝ • የቪድዮ ዳታ ውፅዓት እና የማመሳሰል ምልክቶች ከኤችዲኤምአይ RX ኮር loop በዲሲFIFO በ RX እና TX የቪዲዮ ሰዓት ጎራዎች ውስጥ።
• የኤችዲኤምአይ TX ኮር ረዳት መረጃ ወደብ በዲሲFIFO በኩል በጀርባ ግፊት የሚፈሰውን ረዳት መረጃ ይቆጣጠራል። የኋላ ግፊት በረዳት መረጃ ወደብ ላይ ምንም ያልተሟላ ረዳት ፓኬት አለመኖሩን ያረጋግጣል።
• ይህ እገዳ እንዲሁም ውጫዊ ማጣሪያን ያከናውናል፡-
— ወደ ኤችዲኤምአይ TX ኮር ረዳት ዳታ ወደብ ከማስተላለፋችን በፊት የኦዲዮ ውሂብን እና የኦዲዮ የሰዓት እድሳት ፓኬትን ከረዳት ዳታ ዥረት ያጣራል።
- የከፍተኛ ተለዋዋጭ ክልል (ኤችዲአር) መረጃን ከኤችዲኤምአይ RX ረዳት መረጃ ያጣራል እና የቀድሞ ያስገባል።ample HDR InfoFrame ለኤችዲኤምአይ TX ረዳት ውሂብ በአቫሎን ዥረት ብዜት ማጫወቻ።
ሲፒዩ ንዑስ ስርዓት የሲፒዩ ንዑስ ስርዓት እንደ SCDC እና DDC መቆጣጠሪያዎች እና የምንጭ መልሶ ማዋቀር ተቆጣጣሪ ሆኖ ይሰራል።
• የምንጭ SCDC መቆጣጠሪያ የI2C ዋና መቆጣጠሪያን ይዟል። የI2C ማስተር ተቆጣጣሪ የኤስ.ሲ.ሲ.ዲ መረጃ አወቃቀሩን ከ FPGA ምንጭ ወደ ውጫዊ ማጠቢያው ለኤችዲኤምአይ 2.0 ስራ ያስተላልፋል። ለ exampለ፣ የወጪ ዳታ ዥረት 6,000 ሜባበሰ ከሆነ፣ የኒዮስ II ፕሮሰሰር I2C ዋና መቆጣጠሪያውን TMDS_BIT_CLOCK_RATIO እና SCRAMBLER_ENABLE ቢትስ የሲንክ TMDS ውቅር መመዝገቢያ ወደ 1 እንዲያዘምን ያዛል።
• ተመሳሳዩ I2C ጌታ የዲዲሲ ውሂብ መዋቅርን (ኢ-ኢዲአይዲ) በኤችዲኤምአይ ምንጭ እና በውጫዊ ማጠቢያ መካከል ያስተላልፋል።
• ኒዮስ II ሲፒዩ ለኤችዲኤምአይ ምንጭ እንደ ዳግም ማዋቀር ተቆጣጣሪ ሆኖ ይሰራል። ሲፒዩ TX ዳግም ማዋቀርን የሚፈልግ ከሆነ ለመወሰን ከRX ዳግም ማዋቀር አስተዳደር ሞጁል በየጊዜው በሚደረግ የፍጥነት ማወቂያ ላይ ይመሰረታል። የአቫሎን ማህደረ ትውስታ-ካርታ ባርያ ተርጓሚ በኒዮስ II ፕሮሰሰር አቫሎን ማህደረ ትውስታ-ካርታ ማስተር በይነገጽ እና በአቫሎን ማህደረ ትውስታ-ካርታ የተሰራ ባሪያ በይነገጾች በውጫዊ ቅጽበታዊ የኤችዲኤምአይ ምንጭ IOPLL እና TX Native PHY መካከል ያለውን በይነገጽ ያቀርባል።
• በ I2C ማስተር በይነገጽ ከውጭ ማጠቢያ ጋር የአገናኝ ስልጠናን ያከናውኑ

2.6. ተለዋዋጭ ክልል እና ማስተር (ኤችዲአር) የመረጃ ፍሬም ማስገባት እና ማጣራት።
የ HDMI Intel FPGA IP ንድፍ ምሳሌample በRX-TX loopback ሲስተም ውስጥ የኤችዲአር መረጃ ፍሬም ማስገባትን ያሳያል።
የኤችዲኤምአይ ዝርዝር መግለጫ ስሪት 2.0b ተለዋዋጭ ክልል እና ማስተርing InfoFrame በኤችዲኤምአይ ረዳት ዥረት በኩል እንዲተላለፉ ያስችላቸዋል። በሠርቶ ማሳያው ላይ፣ የረዳት ፓኬት ጀነሬተር እገዳ የኤችዲአር ማስገባትን ይደግፋል። በሞጁሉ የሲግናል ዝርዝር ሠንጠረዥ ውስጥ በተገለፀው መሰረት የታሰበውን የኤችዲአር መረጃ ፍሬም ፓኬት መቅረጽ ብቻ ያስፈልግዎታል እና የኤችዲአር መረጃ ፍሬም ማስገባት በእያንዳንዱ የቪዲዮ ፍሬም አንድ ጊዜ ይከሰታል።
በዚህ የቀድሞampለ ውቅረት፣ ገቢው ረዳት ዥረት አስቀድሞ HDR InfoFrameን ባካተተበት ሁኔታ፣ የተለቀቀው የኤችዲአር ይዘት ይጣራል። ማጣራቱ የሚጋጩ የኤችዲአር መረጃ ክፈፎች እንዳይተላለፉ እና በኤችዲአርኤስ ውስጥ የተገለጹት እሴቶች ብቻ መሆናቸውን ያረጋግጣል።ample Data module ጥቅም ላይ ይውላሉ።
ምስል 11. RX-TX አገናኝ ከተለዋዋጭ ክልል እና ማስተር ኢንፎፍሬም ማስገቢያ ጋር
በሥዕሉ ላይ የRX-TX አገናኝን የማገጃ ንድፍ ያሳያል Dynamic Range እና Mastering InfoFrame ወደ HDMI TX ኮር ረዳት ዥረት ማስገባት።intel HDMI Arria 10 FPGA IP ንድፍ Example - ተለዋዋጭ ክልልሠንጠረዥ 12. ረዳት ውሂብ ማስገቢያ አግድ (aux_retransmit) ምልክቶች

ሲግናል አቅጣጫ ስፋት

መግለጫ

ሰዓት እና ዳግም አስጀምር
clk ግቤት 1 የሰዓት ግቤት። ይህ ሰዓት ከቪዲዮው ሰዓት ጋር መገናኘት አለበት።
ዳግም አስጀምር ግቤት 1 ግቤትን ዳግም አስጀምር።

ረዳት ፓኬት ምልክቶች

tx_aux_ዳታ ውፅዓት 72 የቲኤክስ ረዳት ፓኬት ውፅዓት ከበርካታ ኤክስፐርት።
tx_aux_የሚሰራ ውፅዓት 1
tx_aux_ዝግጁ ውፅዓት 1
tx_aux_sop ውፅዓት 1
tx_aux_eop ውፅዓት 1
rx_aux_ዳታ ግቤት 72 ወደ multiplexer ከመግባትዎ በፊት RX ረዳት መረጃ ወደ ፓኬት ማጣሪያ ሞጁል አልፏል።
rx_aux_የሚሰራ ግቤት 1
rx_aux_sop ግቤት 1
rx_aux_eop ግቤት 1
የመቆጣጠሪያ ምልክት
hdmi_tx_vsync ግቤት 1 HDMI TX ቪዲዮ Vsync. ይህ ምልክት ከአገናኝ የፍጥነት ሰዓት ጎራ ጋር መመሳሰል አለበት።ዋናው በዚህ ምልክት ከፍ ባለ ጠርዝ ላይ የኤችዲአር ኢንፎ ፍሬምን ወደ ረዳት ዥረቱ ያስገባል።

ሠንጠረዥ 13. የኤችዲአር ዳታ ሞዱል (altera_hdmi_hdr_infoframe) ሲግናሎች

ሲግናል

አቅጣጫ ስፋት

መግለጫ

hb0 ውፅዓት 8 የዳይናሚክ ክልል ራስጌ ባይት 0 እና ማስተር ኢንፎ ፍሬም፡ InfoFrame አይነት ኮድ።
hb1 ውፅዓት 8 የዳይናሚክ ክልል ራስጌ ባይት 1 እና ማስተር ኢንፎ ፍሬም፡ InfoFrame ሥሪት ቁጥር።
hb2 ውፅዓት 8 የዳይናሚክ ክልል ራስጌ ባይት 2 እና ማስተር ኢንፎ ፍሬም፡ የመረጃ ፍሬም ርዝመት።
pb ግቤት 224 የዳይናሚክ ክልል እና ማስተር ኢንፎ ፍሬም የውሂብ ባይት።

ሠንጠረዥ 14. ተለዋዋጭ ክልል እና ማስተር ኢንፎፍሬም ዳታ ባይት ቅርቅብ ቢት-መስኮች

ቢት-መስክ

ፍቺ

የማይንቀሳቀስ ሜታዳታ ዓይነት 1

7፡0 ውሂብ ባይት 1፡ {5'h0፣ EOTF[2:0]}
15፡8 የውሂብ ባይት 2፡ {5'h0፣ Static_Metadata_Descriptor_ID[2:0]}
23፡16 የውሂብ ባይት 3፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_x[0]፣ LSB
31፡24 የውሂብ ባይት 4፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_x[0]፣ MSB
39፡32 የውሂብ ባይት 5፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_y[0]፣ LSB
47፡40 የውሂብ ባይት 6፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_y[0]፣ MSB
55፡48 የውሂብ ባይት 7፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_x[1]፣ LSB
63፡56 የውሂብ ባይት 8፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_x[1]፣ MSB
71፡64 የውሂብ ባይት 9፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_y[1]፣ LSB
79፡72 የውሂብ ባይት 10፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_y[1]፣ MSB
87፡80 የውሂብ ባይት 11፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_x[2]፣ LSB
95፡88 የውሂብ ባይት 12፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_x[2]፣ MSB
103፡96 የውሂብ ባይት 13፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_y[2]፣ LSB
111፡104 የውሂብ ባይት 14፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_y[2]፣ MSB
119፡112 የውሂብ ባይት 15፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ነጭ_ነጥብ_x፣ LSB
127፡120 የውሂብ ባይት 16፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ነጭ_ነጥብ_x፣ MSB
135፡128 የውሂብ ባይት 17፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ነጭ_ነጥብ_y፣ LSB
143፡136 የውሂብ ባይት 18፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ነጭ_ነጥብ_y፣ MSB
151፡144 የውሂብ ባይት 19፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ከፍተኛ_ማሳያ_ማስተዳድር_luminance፣ LSB
159፡152 የውሂብ ባይት 20፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ከፍተኛ_ማሳያ_ማስተዳድር_luminance፣ MSB
167፡160 የውሂብ ባይት 21፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ደቂቃ_ማሳያ_ማስተር_ብርሃን፣ LSB
175፡168 የውሂብ ባይት 22፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ደቂቃ_ማሳያ_ማስተር_ብርሃን፣ ኤምኤስቢ
183፡176 የውሂብ ባይት 23፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ከፍተኛው የይዘት ብርሃን ደረጃ፣ LSB
191፡184 የውሂብ ባይት 24፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ከፍተኛው የይዘት ብርሃን ደረጃ፣ MSB
199፡192 የውሂብ ባይት 25፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ከፍተኛው የፍሬም-አማካይ የብርሃን ደረጃ፣ LSB
207፡200 የውሂብ ባይት 26፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ከፍተኛው የፍሬም-አማካይ የብርሃን ደረጃ፣ ኤምኤስቢ
215፡208 የተያዘ
223፡216 የተያዘ

HDR ማስገባትን እና ማጣራትን በማሰናከል ላይ
የኤችዲአር ማስገባትን እና ማጣሪያን ማሰናከል በRX-TX Retransmit ንድፍ ውስጥ ያለ ምንም ማሻሻያ ከምንጩ ረዳት ዥረት ውስጥ የሚገኘውን የኤችዲአር ይዘት እንደገና መተላለፉን እንዲያረጋግጡ ያስችልዎታል።ampለ.
HDR InfoFrame ማስገባት እና ማጣራትን ለማሰናከል፡-

  1. block_ext_hdr_infoframeን በrxtx_link.v ውስጥ ወደ 1'b0 አቀናብር file ከረዳት ዥረት የ HDR InfoFrame ማጣሪያን ለመከላከል።
  2. ከ avalon_st_multiplexer ምሳሌ ውስጥ multiplexer_in0_valid ያቀናብሩ altera_hdmi_aux_hdr.v file ወደ 1'b0 ረዳት ፓኬት ጀነሬተር እንዳይፈጥር እና ተጨማሪ HDR InfoFrameን ወደ TX አጋዥ ዥረት እንዳያስገባ።

2.7. ንድፍ ሶፍትዌር ፍሰት
በዲዛይኑ ዋና የሶፍትዌር ፍሰት ውስጥ፣ የኒዮስ II ፕሮሰሰር የቲ ሪድራይቨር መቼትን ያዋቅራል እና ኃይል ሲጨምር TX እና RX ዱካዎችን ይጀምራል።
ምስል 12. የሶፍትዌር ፍሰት በ main.c Script
intel HDMI Arria 10 FPGA IP ንድፍ Example - የሶፍትዌር ፍሰትሶፍትዌሩ የእቃ ማጠቢያ እና የምንጭ ለውጦችን ለመከታተል እና ለለውጦቹ ምላሽ ለመስጠት የተወሰነ ጊዜን ይሠራል። ሶፍትዌሩ የTX መልሶ ማዋቀርን፣ የTX አገናኝ ስልጠናን እና ቪዲዮን ማስተላለፍ ሊጀምር ይችላል።
ምስል 13. የቲኤክስ ዱካ አጀማመር ወራጅ ገበታ TX ዱካን ያስጀምራል።intel HDMI Arria 10 FPGA IP ንድፍ Example - የወራጅ ገበታምስል 14. የ RX ዱካ አጀማመር ፍሰት ገበታintel HDMI Arria 10 FPGA IP ንድፍ Example - የወራጅ ገበታ 1ምስል 15. TX ዳግም ማዋቀር እና ማገናኛ የስልጠና ፍሰት ገበታintel HDMI Arria 10 FPGA IP ንድፍ Example - የወራጅ ገበታ 2ምስል 16. የአገናኝ ስልጠና LTS፡3 ሂደት በልዩ የFRL ተመን ፍሰት ገበታintel HDMI Arria 10 FPGA IP ንድፍ Example - የወራጅ ገበታ 3ምስል 17. HDMI TX የቪዲዮ ማስተላለፊያ ፍሰት ገበታintel HDMI Arria 10 FPGA IP ንድፍ Example - የወራጅ ገበታ 42.8. ንድፉን በተለያዩ የFRL ተመኖች ማስኬድ
ዲዛይኑን በተለያዩ የ FRL ታሪፎች ማስኬድ ይችላሉ፣ ከውጪ መስመሩ ነባሪ የ FRL ተመን ሌላ።
ንድፉን በተለያዩ የFRL ተመኖች ለማስኬድ፡-

  1. የቦርድ ተጠቃሚ_dipsw0 መቀየሪያን ወደ በርቷል ቦታ ቀይር።
  2. የኒዮስ II ትዕዛዝ ሼልን ይክፈቱ፣ ከዚያ nios2-terminal ይተይቡ
  3. የሚከተሉትን ትዕዛዞች አስገባ እና አስገባን ተጫን።
ትዕዛዝ

መግለጫ

h የእገዛ ምናሌውን አሳይ።
r0 የRX ከፍተኛውን የFRL አቅም ወደ FRL ተመን 0 (TMDS ብቻ) ያዘምኑ።
r1 የRX ከፍተኛውን የFRL አቅም ወደ FRL ፍጥነት 1 (3 Gbps) ያዘምኑ።
r2 የRX ከፍተኛውን የFRL አቅም ወደ FRL ፍጥነት 2 (6 Gbps፣ 3 መስመሮች) ያዘምኑ።
r3 የRX ከፍተኛውን የFRL አቅም ወደ FRL ፍጥነት 3 (6 Gbps፣ 4 መስመሮች) ያዘምኑ።
r4 የRX ከፍተኛውን የFRL አቅም ወደ FRL ፍጥነት 4 (8 Gbps) ያዘምኑ።
r5 የRX ከፍተኛውን የFRL አቅም ወደ FRL ፍጥነት 5 (10 Gbps) ያዘምኑ።
r6 የRX ከፍተኛውን የFRL አቅም ወደ FRL ፍጥነት 6 (12 Gbps) ያዘምኑ።
t1 TX የአገናኝ ፍጥነትን ወደ FRL ፍጥነት 1 (3 Gbps) ያዋቅራል።
t2 TX የአገናኝ ፍጥነትን ወደ FRL ተመን 2 (6 Gbps፣ 3 መስመሮች) ያዋቅራል።
t3 TX የአገናኝ ፍጥነትን ወደ FRL ተመን 3 (6 Gbps፣ 4 መስመሮች) ያዋቅራል።
t4 TX የአገናኝ ፍጥነትን ወደ FRL ፍጥነት 4 (8 Gbps) ያዋቅራል።
t5 TX የአገናኝ ፍጥነትን ወደ FRL ፍጥነት 5 (10 Gbps) ያዋቅራል።
t6 TX የአገናኝ ፍጥነትን ወደ FRL ፍጥነት 6 (12 Gbps) ያዋቅራል።

2.9. የሰዓት መርሃ ግብር
የሰዓት አሠራሩ የሰዓት ጎራዎችን በኤችዲኤምአይ ኢንቴል FPGA IP ንድፍ ውስጥ ያሳያልampለ.
ምስል 18. HDMI 2.1 ንድፍ Example Clocking Schemeintel HDMI Arria 10 FPGA IP ንድፍ Example - የሰዓት መርሃ ግብርሠንጠረዥ 15. የሰዓት እቅድ ምልክቶች

ሰዓት

በንድፍ ውስጥ የምልክት ስም

መግለጫ

የአስተዳደር ሰዓት mgmt_clk ለእነዚህ ክፍሎች 100 ሜኸር የሚሄድ ነጻ ሰዓት፡-
• አቫሎን-ኤምኤም በይነገጾች እንደገና ለማዋቀር
— የድግግሞሽ ክልል መስፈርት ከ100–125 ሜኸር ነው።
• የ PHY ዳግም ማስጀመሪያ መቆጣጠሪያ ለትራንስሲቨር ዳግም ማስጀመሪያ ቅደም ተከተል
— የድግግሞሽ ክልል መስፈርት ከ1-500 MHz መካከል ነው።
• IOPLL እንደገና ማዋቀር
- ከፍተኛው የሰዓት ድግግሞሽ 100 ሜኸር ነው።
• RX ዳግም ማዋቀር አስተዳደር
• TX ዳግም ማዋቀር አስተዳደር
• ሲፒዩ
• I2C ማስተር
I2C ሰዓት i2c_clk በኤችዲኤምአይ RX ኮር እና ኢዲአይዲ ራም ውስጥ የ100 ሜኸር ሰዓት ግብዓት I2C ባሪያን፣ የውጤት ቋቶችን፣ የSCDC መዝገቦችን እና የሥልጠና ሂደትን የሚያገናኝ።
TX PLL የማጣቀሻ ሰዓት 0 tx_tmds_clk የማጣቀሻ ሰዓት 0 ወደ TX PLL። የሰዓት ድግግሞሹ ከኤችዲኤምአይ TX TMDS የሰዓት ሰርጥ ከሚጠበቀው የTMDS ሰዓት ድግግሞሽ ጋር ተመሳሳይ ነው። ይህ የማጣቀሻ ሰዓት በTMDS ሁነታ ጥቅም ላይ ይውላል።
ለዚህ HDMI ንድፍ example, ይህ ሰዓት ለማሳየት ዓላማ ከ RX TMDS ሰዓት ጋር የተገናኘ ነው. በማመልከቻዎ ውስጥ ለተሻለ የጅረት አፈፃፀም የተወሰነ ሰዓት ከTMDS የሰዓት ድግግሞሽ ጋር ከፕሮግራም ሊደረግ ከሚችል oscillator ጋር ማቅረብ አለቦት።
ማስታወሻ፡- ትራንስሴቨር RX ፒን እንደ TX PLL ማመሳከሪያ ሰዓት አይጠቀሙ። የኤችዲኤምአይ TX ማጣቀሻውን በRX ፒን ላይ ካስቀመጡት ንድፍዎ ሊጣጣም አይችልም።
TX PLL የማጣቀሻ ሰዓት 1 txfpll_refclk1/ rxphy_cdr_refclk1 የማጣቀሻ ሰዓት ወደ TX PLL እና RX CDR እንዲሁም IOPLL ለ vid_clk። የሰዓት ድግግሞሽ 100 ሜኸር ነው።
TX PLL ተከታታይ ሰዓት tx_bonding_ሰዓት ተከታታይ ፈጣን ሰዓት በTX PLL የተፈጠረ። የሰዓት ድግግሞሹ የሚዘጋጀው በመረጃ ፍጥነት ላይ በመመስረት ነው።
TX Transceiver ሰዓት ውጪ tx_clk ከትራንስሲቨር የተመለሰ የሰዓት መውጫ፣ እና ድግግሞሹ እንደ የውሂብ መጠን እና ምልክቶች በሰዓት ይለያያል።
TX ትራንስሴቨር የሰዓት ድግግሞሹን = የአስተላላፊ ዳታ መጠን/ የመተላለፊያ ስፋት
ለዚህ HDMI ንድፍ example፣ TX transceiver ሰአቱ ከሰርጥ 0 የ TX ትራንስሴይቨር ኮር ግብዓት (tx_coreclkin)፣ የአገናኝ ፍጥነት IOPLL (pll_hdmi) የማጣቀሻ ሰዓት፣ እና ቪዲዮ እና FRL IOPLL (pll_vid_frl) ማመሳከሪያ ሰዓትን ይጨምራል።
የቪዲዮ ሰዓት tx_vid_clk/rx_vid_clk የቪዲዮ ሰዓት ወደ TX እና RX ኮር። ሰዓቱ በ 225 MHz ቋሚ ድግግሞሽ ይሰራል.
TX/RX FRL ሰዓት tx_frl_clk/rx_frl_clk FRL ሰዓት ለTX እና RX ኮር።
RX TMDS ሰዓት rx_tmds_clk የTMDS የሰዓት ቻናል ከኤችዲኤምአይ RX አያያዥ እና ከ IOPLL ጋር ይገናኛል ለሲዲአር ማጣቀሻ ሰዓት 0 የማመሳከሪያ ሰዓቱን ለማመንጨት።
RX CDR የማጣቀሻ ሰዓት 0 rxphy_cdr_refclk0 የማጣቀሻ ሰዓት 0 ወደ RX CDR። ይህ ሰዓት ከ RX TMDS ሰዓት የተገኘ ነው። የ RX TMDS የሰዓት ድግግሞሽ ከ25 ሜኸር እስከ 340 ሜኸር ሲሆን የ RX CDR ዝቅተኛው የማጣቀሻ ሰዓት ድግግሞሽ 50 ሜኸር ነው።
አንድ IOPLL ለTMDS ሰዓት ከ5 MHz እስከ 25 MHz መካከል ያለው የ 50 ሰዓት ድግግሞሽ ለማመንጨት እና በ50 MHz – 340 MHz መካከል ላለው የTMDS ሰዓት ተመሳሳይ የሰዓት ድግግሞሽ ለመፍጠር ይጠቅማል።
RX Transceiver ሰዓት ውጪ rx_clk ከትራንስሲቨር የተመለሰ የሰዓት መውጫ፣ እና ድግግሞሹ እንደ የውሂብ ፍጥነት እና ትራንስሲቨር ስፋት ይለያያል።
RX ትራንስሴቨር የሰዓት ድግግሞሹን = የአስተላላፊ ዳታ መጠን/ የመተላለፊያ ስፋት
ለዚህ HDMI ንድፍ example፣ RX transceiver ሰአቱ ከሰርጥ 1 የ RX ተለዋዋጭ ኮር ግብአት (rx_coreclkin) እና FRL IOPLL (pll_frl) ማጣቀሻ ሰአትን ይጨምራል።

2.10. የበይነገጽ ምልክቶች
ሠንጠረዦቹ የኤችዲኤምአይ ንድፍ ምሳሌ ምልክቶችን ይዘረዝራሉample ከ FRL ጋር።
ሠንጠረዥ 16. ከፍተኛ-ደረጃ ምልክቶች

ሲግናል

አቅጣጫ ስፋት

መግለጫ

የቦርድ ኦስሲሊተር ሲግናል
clk_fpga_b3_p ግቤት 1 ለዋና ማጣቀሻ ሰዓት 100 ሜኸር ነፃ የሩጫ ሰዓት።
refclk4_p ግቤት 1 100 ሜኸር ነፃ የሩጫ ሰዓት ለትራንስሴቨር ማመሳከሪያ ሰዓት።
የተጠቃሚ ግፋ አዝራሮች እና LEDs
ተጠቃሚ_ፒቢ ግቤት 3 የኤችዲኤምአይ ኢንቴል FPGA IP ዲዛይን ተግባርን ለመቆጣጠር ተጫን።
ሲፒዩ_ዳግም ማስጀመር ግቤት 1 ሁለንተናዊ ዳግም ማስጀመር.
ተጠቃሚ_ሊድ_ሰ ውፅዓት 8 አረንጓዴ LED ማሳያ.
ተመልከት የሃርድዌር ማዋቀር በገጽ 48 ላይ ስለ LED ተግባራት የበለጠ መረጃ ለማግኘት.
ተጠቃሚ_ዲፕስ ግቤት 1 በተጠቃሚ የተገለጸ DIP መቀየሪያ።
ተመልከት የሃርድዌር ማዋቀር በገጽ 48 ላይ ስለ DIP መቀየሪያ ተግባራት የበለጠ መረጃ ለማግኘት።
የኤችዲኤምአይ ኤፍኤምሲ ሴት ልጅ ካርድ ፒን በFMC ወደብ B ላይ
fmcb_gbtclk_m2c_p_0 ግቤት 1 HDMI RX TMDS ሰዓት.
fmcb_dp_m2c_p ግቤት 4 HDMI RX ሰዓት፣ ቀይ፣ አረንጓዴ እና ሰማያዊ የመረጃ ቻናሎች።
fmcb_dp_c2m_p ውፅዓት 4 HDMI TX ሰዓት፣ ቀይ፣ አረንጓዴ እና ሰማያዊ የመረጃ ቻናሎች።
fmcb_la_rx_p_9 ግቤት 1 ኤችዲኤምአይ RX +5V ሃይል ማወቂያ።
fmcb_la_rx_p_8 ውፅዓት 1 ኤችዲኤምአይ RX ትኩስ ተሰኪ ማወቂያ.
fmcb_la_rx_n_8 ግቤት 1 ኤችዲኤምአይ RX I2C ኤስዲኤ ለዲዲሲ እና SCDC።
fmcb_la_tx_p_10 ግቤት 1 HDMI RX I2C SCL ለ DDC እና SCDC።
fmcb_la_tx_p_12 ግቤት 1 ኤችዲኤምአይ TX ትኩስ ተሰኪ ማወቂያ።
fmcb_la_tx_n_12 ግቤት 1 ኤችዲኤምአይ I2C ኤስዲኤ ለዲዲሲ እና SCDC።
fmcb_la_rx_p_10 ግቤት 1 HDMI I2C SCL ለ DDC እና SCDC.
fmcb_la_tx_n_9 ግቤት 1 ኤችዲኤምአይ I2C SDA ለዳግም መቆጣጠሪያ።
fmcb_la_rx_p_11 ግቤት 1 ኤችዲኤምአይ I2C SCL ለራውተር መቆጣጠሪያ።
fmcb_la_tx_n_13 ውፅዓት 1 HDMI TX +5V
ማስታወሻ፡- ሲገኝ ብቻ Biec HDMI ሴት ልጅ ካርድ ክለሳ 9 የሚለው ተመርጧል።

ጠረጴዛ 17. HDMI RX ከፍተኛ-ደረጃ ምልክቶች

ሲግናል አቅጣጫ ስፋት መግለጫ
የሰዓት እና ምልክቶችን ዳግም ያስጀምሩ
mgmt_clk ግቤት 1 የስርዓት ሰዓት ግቤት (100 ሜኸ).
ዳግም አስጀምር ግቤት 1 የስርዓት ዳግም ማስጀመሪያ ግቤት።
rx_tmds_clk ግቤት 1 HDMI RX TMDS ሰዓት.
i2c_clk ግቤት 1 የሰዓት ግቤት ለዲዲሲ እና SCDC በይነገጽ።
የሰዓት እና ምልክቶችን ዳግም ያስጀምሩ
rxphy_cdr_refclk1 ግቤት 1 የሰዓት ግቤት ለ RX CDR ማጣቀሻ ሰዓት 1. የሰዓት ድግግሞሽ 100 ሜኸር ነው።
rx_vid_clk ውፅዓት 1 የቪዲዮ ሰዓት ውፅዓት።
sys_init ውፅዓት 1 በኃይል ሲነሳ ስርዓቱን እንደገና ለማስጀመር የስርዓት ጅምር።
RX Transceiver እና IOPLL ሲግናሎች
rxpll_tmds_ተቆልፏል ውፅዓት 1 የTMDS ሰዓት IOPLL መቆለፉን ያሳያል።
rxpll_frl_የተቆለፈ ውፅዓት 1 የFRL ሰዓት IOPLL መቆለፉን ያሳያል።
rxphy_serial_data ግቤት 4 የኤችዲኤምአይ ተከታታይ ውሂብ ወደ RX ቤተኛ PHY።
rxphy_ዝግጁ ውፅዓት 1 የRX ቤተኛ PHY ዝግጁ መሆኑን ያሳያል።
rxphy_cal_busy_ጥሬ ውፅዓት 4 RX ቤተኛ PHY ልኬት ወደ ትራንስሲቨር ዳኛ ተጠምዷል።
rxphy_cal_busy_gated ግቤት 4 የካሊብሬሽን ስራ የበዛበት ምልክት ከትራንስሲቨር አርቢተር ወደ RX Native PHY።
rxphy_rcfg_slave_ጻፍ ግቤት 4 ትራንስሴቨር ዳግም ማዋቀር አቫሎን ሜሞሪ-ካርታ የተደረገ በይነገጽ ከRX Native PHY ወደ ትራንስሲቨር አርቢተር።
rxphy_rcfg_slave_አንብብ ግቤት 4
rxphy_rcfg_ባሪያ_አድራሻ ግቤት 40
rxphy_rcfg_slave_writedata ግቤት 128
rxphy_rcfg_slave_readata ውፅዓት 128
rxphy_rcfg_slave_waitrequest ውፅዓት 4
RX ዳግም ማዋቀር አስተዳደር
rxphy_rcfg_የተጠመደ ውፅዓት 1 RX ዳግም ማዋቀር ሥራ የበዛበት ምልክት።
rx_tmds_freq ውፅዓት 24 HDMI RX TMDS የሰዓት ድግግሞሽ መለኪያ (በ10 ሚሴ)።
rx_tmds_freq_ትክክለኛ ውፅዓት 1 የRX TMDS የሰዓት ድግግሞሽ መለኪያ ትክክለኛ መሆኑን ያሳያል።
rxphy_os ውፅዓት 1 ኦቨርስampሊንግ ምክንያት:
•0፡ 1x oversampሊንግ
• 1፡5× ኦቨርስampሊንግ
rxphy_rcfg_ማስተር_ፃፍ ውፅዓት 1 የ RX መልሶ ማዋቀር አስተዳደር አቫሎን ማህደረ ትውስታ-ካርታ ያለው በይነገጽ ወደ አስተላላፊ አርቢተር።
rxphy_rcfg_ማስተር_ማንበብ ውፅዓት 1
rxphy_rcfg_ማስተር_አድራሻ ውፅዓት 12
rxphy_rcfg_master_writedata ውፅዓት 32
rxphy_rcfg_master_readata ግቤት 32
rxphy_rcfg_ማስተር_መጠባበቅ ጥያቄ ግቤት 1
HDMI RX ኮር ሲግናሎች
rx_vid_clk_ተቆልፏል ግቤት 1 vid_clk የተረጋጋ መሆኑን ያሳያል።
rxcore_frl_ሬት ውፅዓት 4 RX ኮር እየሰራ ያለውን የFRL መጠን ያሳያል።
• 0፡ የቆየ ሁነታ (TMDS)
• 1፡3 Gbps 3 መስመሮች
• 2፡6 Gbps 4 መስመሮች
• 3፡6 Gbps 4 መስመሮች
• 4፡8 Gbps 4 መስመሮች
• 5፡10 Gbps 4 መስመሮች
• 6፡12 Gbps 4 መስመሮች
• 7-15፡ የተያዘ
rxcore_frl_የተቆለፈ ውፅዓት 4 እያንዳንዱ ቢት የFRL መቆለፊያ ያገኘውን ልዩ ሌይን ያሳያል። FRL የሚቆለፈው RX ኮር በተሳካ ሁኔታ አሰላለፍ ሲያከናውን፣ ዴስክ ሲያወጣ እና የሌይን መቆለፊያን ሲያሳካ ነው።
• ለ 3-ሌይን ሁነታ፣ የሌይን መቆለፊያው የሚገኘው RX ኮር Scrambler Reset (SR) ወይም Start-Super-Block (SSB) ለእያንዳንዱ 680 FRL ቁምፊ ጊዜ ቢያንስ ለ3 ጊዜ ሲቀበል ነው።
• ለ 4-ሌይን ሁነታ፣ የሌይን መቆለፊያው የሚገኘው RX ኮር Scrambler Reset (SR) ወይም Start-Super-Block (SSB) ለእያንዳንዱ 510 FRL ቁምፊ ጊዜ ቢያንስ ለ3 ጊዜ ሲቀበል ነው።
rxcore_frl_ffe_ደረጃዎች ውፅዓት 4 በ SCDC 0x31 መመዝገቢያ ቢት [7:4] በ RX ኮር ውስጥ ካለው FFE_level ቢት ጋር ይዛመዳል።
rxcore_frl_flt_ዝግጁ ግቤት 1 የአገናኝ ስልጠና ሂደት ለመጀመር RX ዝግጁ መሆኑን የሚጠቁሙ ማረጋገጫዎች። ሲረጋገጥ፣ በSCDC መዝገብ ውስጥ ያለው FLT_ready ቢት 0x40 ቢት 6ም ተረጋግጧል።
rxcore_frl_src_test_config ግቤት 8 የምንጭ ሙከራ አወቃቀሮችን ይገልጻል። እሴቱ በ SCDC መመዝገቢያ 0x35 ውስጥ በ SCDC የሙከራ ውቅር መዝገብ ውስጥ ተጽፏል።
rxcore_tbcr ውፅዓት 1 የTMDS ቢት ወደ ሰዓት ሬሾን ያሳያል። በSCDC መመዝገቢያ 0x20 ቢት 1 ውስጥ ካለው የTMDS_Bit_Clock_Ratio ምዝገባ ጋር ይዛመዳል።
• በኤችዲኤምአይ 2.0 ሁነታ ሲሰራ፣ ይህ ቢት የተረጋገጠ ነው። 40፡1 ያለውን የTMDS ቢት ወደ ሰዓት ጥምርታ ያሳያል።
• በኤችዲኤምአይ 1.4b ውስጥ ሲሰራ፣ ይህ ቢት አልተረጋገጠም። የ10፡1 የTMDS ቢት ወደ ሰዓት ምጥጥን ያሳያል።
• ይህ ቢት ለFRL ሁነታ ጥቅም ላይ ያልዋለ ነው።
rxcore_scrambler_የሚችል ውፅዓት 1 የተቀበለው መረጃ የተበታተነ መሆኑን ያሳያል; በSCDC መመዝገቢያ 0x20 ቢት 0 ውስጥ ካለው Scrambling_Enable መስክ ጋር ይዛመዳል።
rxcore_audio_de ውፅዓት 1 ኤችዲኤምአይ RX ኮር የድምጽ በይነገጾች
የሚለውን ተመልከት መስመጥ በይነገጾች ክፍል ውስጥ HDMI Intel FPGA IP የተጠቃሚ መመሪያ ለበለጠ መረጃ።
rxcore_audio_ዳታ ውፅዓት 256
rxcore_audio_info_ai ውፅዓት 48
rxcore_audio_N ውፅዓት 20
rxcore_audio_CTS ውፅዓት 20
rxcore_audio_ሜታዳታ ውፅዓት 165
rxcore_audio_ቅርጸት። ውፅዓት 5
rxcore_aux_pkt_ዳታ ውፅዓት 72 ኤችዲኤምአይ RX ኮር ረዳት በይነገጾች
የሚለውን ተመልከት መስመጥ በይነገጾች ክፍል ውስጥ HDMI Intel FPGA IP የተጠቃሚ መመሪያ ለበለጠ መረጃ።
rxcore_aux_pkt_adr ውፅዓት 6
rxcore_aux_pkt_wr ውፅዓት 1
rxcore_aux_ዳታ ውፅዓት 72
rxcore_aux_sop ውፅዓት 1
rxcore_aux_eop ውፅዓት 1
rxcore_aux_valid ውፅዓት 1
rxcore_aux_ስህተት ውፅዓት 1
rxcore_gcp ውፅዓት 6 HDMI RX ኮር የጎን ባንድ ምልክቶች
የሚለውን ተመልከት መስመጥ በይነገጾች ክፍል ውስጥ HDMI Intel FPGA IP የተጠቃሚ መመሪያ ለበለጠ መረጃ።
rxcore_info_avi ውፅዓት 123
rxcore_info_vsi ውፅዓት 61
rxcore_የተቆለፈ ውፅዓት 1 የኤችዲኤምአይ RX ኮር ቪዲዮ ወደቦች
ማስታወሻ፡ N = ፒክስሎች በሰዓት
የሚለውን ተመልከት መስመጥ በይነገጾች ክፍል ውስጥ HDMI Intel FPGA IP የተጠቃሚ መመሪያ ለበለጠ መረጃ።
rxcore_vid_ዳታ ውፅዓት N*48
rxcore_vid_vsync ውፅዓት N
rxcore_vid_hsync ውፅዓት N
rxcore_vid_de ውፅዓት N
rxcore_vid_የሚሰራ ውፅዓት 1
rxcore_vid_lock ውፅዓት 1
rxcore_mode ውፅዓት 1 የኤችዲኤምአይ RX ዋና ቁጥጥር እና የሁኔታ ወደቦች።
ማስታወሻ፡ N = ምልክቶች በሰዓት
የሚለውን ተመልከት መስመጥ በይነገጾች ክፍል ውስጥ HDMI Intel FPGA IP የተጠቃሚ መመሪያ ለበለጠ መረጃ።
rxcore_ctrl ውፅዓት N*6
rxcore_color_depth_sync ውፅዓት 2
hdmi_5v_አግኝ ግቤት 1 ኤችዲኤምአይ RX 5V ማወቂያ እና hotplug ማወቂያ። የሚለውን ተመልከት መስመጥ በይነገጾች ክፍል ውስጥ HDMI Intel FPGA IP የተጠቃሚ መመሪያ ለበለጠ መረጃ።
hdmi_rx_hpd ውፅዓት 1
rx_hpd_ቀስቃሽ ግቤት 1
I2ሲ ምልክቶች
hdmi_rx_i2c_sda ግቤት 1 HDMI RX DDC እና SCDC በይነገጽ።
hdmi_rx_i2c_scl ግቤት 1
RX ኢዲአይዲ ራም ሲግናሎች
ኤዲድ_ራም_መዳረሻ ግቤት 1 HDMI RX ኤዲዲ ራም መዳረሻ በይነገጽ.
ኢዲድ_ራም_አድራሻ ግቤት 8 ከEDID RAM መጻፍ ወይም ማንበብ ሲፈልጉ edid_ram_access አስገባ፣ ይህ ካልሆነ ይህ ምልክት ዝቅተኛ መሆን አለበት።
edid_ram_access ስታስረግጡ የ hotplug ሲግናል ወደ EDID RAM ለመፃፍ ወይም ለማንበብ ይፈቅዳል። የኤዲአይዲ ራም መዳረሻ ሲጠናቀቅ edid_ram_assess እና የ hotplug ሲግናል ማስረገጥ አለቦት። በ hotplug ሲግናል መቀያየር ምክንያት ምንጩ አዲሱን ኢዲአይዲ ያነባል።
edid_ram_ፃፍ ግቤት 1
ኤዲድ_ራም_አንብቧል ግቤት 1
edid_ram_readata ውፅዓት 8
edid_ram_writedata ግቤት 8
edid_ram_waitrequest ውፅዓት 1

ሠንጠረዥ 18.HDMI TX ከፍተኛ ደረጃ ምልክቶች

ሲግናል አቅጣጫ ስፋት መግለጫ
የሰዓት እና ምልክቶችን ዳግም ያስጀምሩ
mgmt_clk ግቤት 1 የስርዓት ሰዓት ግቤት (100 ሜኸ).
ዳግም አስጀምር ግቤት 1 የስርዓት ዳግም ማስጀመሪያ ግቤት።
tx_tmds_clk ግቤት 1 HDMI RX TMDS ሰዓት.
txfpll_refclk1 ግቤት 1 የሰዓት ግቤት ለTX PLL ማጣቀሻ ሰዓት 1. የሰዓት ድግግሞሽ 100 ሜኸር ነው።
tx_vid_clk ውፅዓት 1 የቪዲዮ ሰዓት ውፅዓት።
tx_frl_clk ውፅዓት 1 FRL የሰዓት ውጤት
sys_init ግቤት 1 በኃይል ሲነሳ ስርዓቱን እንደገና ለማስጀመር የስርዓት ጅምር።
tx_init_ተከናውኗል ግቤት 1 የTX ጅምር የTX ዳግም ውቅረት አስተዳደር ብሎክ እና ትራንስሲቨር ዳግም ማዋቀር በይነገጽን ዳግም ለማስጀመር።
TX Transceiver እና IOPLL ሲግናሎች
txpll_frl_የተቆለፈ ውፅዓት 1 የአገናኝ የፍጥነት ሰዓቱን ያሳያል እና የFRL ሰዓት IOPLL ተቆልፏል።
txfpll_ተቆልፏል ውፅዓት 1 TX PLL መቆለፉን ያሳያል።
txphy_ተከታታይ_ውሂብ ውፅዓት 4 የኤችዲኤምአይ ተከታታይ ውሂብ ከTX Native PHY።
txphy_ዝግጁ ውፅዓት 1 የTX ቤተኛ PHY ዝግጁ መሆኑን ያሳያል።
txphy_cal_busy ውፅዓት 1 TX ቤተኛ PHY መለካት ሥራ የበዛበት ምልክት።
txphy_cal_busy_ጥሬ ውፅዓት 4 የካሊብሬሽን ሥራ የበዛበት ምልክት ወደ ትራንስሲቨር አርቢትር።
txphy_cal_busy_gated ግቤት 4 የካሊብሬሽን ስራ የበዛበት ምልክት ከትራንስሲቨር አርቢተር ወደ TX Native PHY።
txphy_rcfg_የተጠመደ ውፅዓት 1 የTX PHY ዳግም ማዋቀር በሂደት ላይ መሆኑን ያሳያል።
txphy_rcfg_ባሪያ_ጻፍ ግቤት 4 ትራንስሴቨር ዳግም ማዋቀር አቫሎን ሜሞሪ-ካርታ የተደረገ በይነገጽ ከTX Native PHY ወደ ትራንስሲቨር አርቢተር።
txphy_rcfg_ባሪያ_አንብብ ግቤት 4
txphy_rcfg_ባሪያ_አድራሻ ግቤት 40
txphy_rcfg_slave_writedata ግቤት 128
txphy_rcfg_slave_readata ውፅዓት 128
txphy_rcfg_የባሪያ_መጠባበቅ ጥያቄ ውፅዓት 4
TX ዳግም ማዋቀር አስተዳደር
tx_tmds_freq ግቤት 24 HDMI TX TMDS የሰዓት ድግግሞሽ ዋጋ (በ10 ሚሴ)።
tx_os ውፅዓት 2 ኦቨርስampሊንግ ምክንያት:
• 0፡ 1x ኦቨርስampሊንግ
•1፡ 2× ኦቨርስampሊንግ
•2፡ 8x oversampሊንግ
txphy_rcfg_ማስተር_ፃፍ ውፅዓት 1 TX ዳግም ማዋቀር አስተዳደር አቫሎን ትውስታ-ካርታ በይነ ወደ ትራንስሲቨር አርቢተር።
txphy_rcfg_ማስተር_ማንበብ ውፅዓት 1
txphy_rcfg_ማስተር_አድራሻ ውፅዓት 12
txphy_rcfg_master_writedata ውፅዓት 32
txphy_rcfg_master_readata ግቤት 32
txphy_rcfg_ዋና_መጠባበቅ ጥያቄ ግቤት 1
tx_reconfig_ተከናውኗል ውፅዓት 1 የTX ዳግም ማዋቀር ሂደት መጠናቀቁን ያመለክታል።
HDMI TX ኮር ሲግናሎች
tx_vid_clk_ተቆልፏል ግቤት 1 vid_clk የተረጋጋ መሆኑን ያሳያል።
txcore_ctrl ግቤት N*6 ኤችዲኤምአይ TX ኮር መቆጣጠሪያ በይነገጾች.
ማስታወሻ፡ N = ፒክስሎች በሰዓት
የሚለውን ተመልከት ምንጭ በይነገጾች ክፍል ውስጥ HDMI Intel FPGA IP የተጠቃሚ መመሪያ ለበለጠ መረጃ።
txcore_mode ግቤት 1
txcore_audio_de ግቤት 1 ኤችዲኤምአይ TX ኮር የድምጽ በይነገጾች.
የሚለውን ተመልከት ምንጭ በይነገጾች ክፍል ውስጥ HDMI Intel FPGA IP የተጠቃሚ መመሪያ ለበለጠ መረጃ።
txcore_audio_ድምጸ-ከል ያድርጉ ግቤት 1
txcore_audio_ዳታ ግቤት 256
txcore_audio_info_ai ግቤት 49
txcore_audio_N ግቤት 20
txcore_audio_CTS ግቤት 20
txcore_audio_ሜታዳታ ግቤት 166
txcore_audio_ቅርጸት። ግቤት 5
txcore_aux_ዝግጁ ውፅዓት 1 HDMI TX ኮር ረዳት በይነገጾች.
የሚለውን ተመልከት ምንጭ በይነገጾች ክፍል ውስጥ HDMI Intel FPGA IP የተጠቃሚ መመሪያ ለበለጠ መረጃ።
txcore_aux_ዳታ ግቤት 72
txcore_aux_sop ግቤት 1
txcore_aux_eop ግቤት 1
txcore_aux_valid ግቤት 1
txcore_gcp ግቤት 6 HDMI TX ኮር የጎን ባንድ ምልክቶች.
የሚለውን ተመልከት ምንጭ በይነገጾች ክፍል ውስጥ HDMI Intel FPGA IP የተጠቃሚ መመሪያ ለበለጠ መረጃ።
txcore_info_avi ግቤት 123
txcore_መረጃ_vsi ግቤት 62
txcore_i2c_master_write ግቤት 1 TX I2C ማስተር አቫሎን ማህደረ ትውስታ-ካርታ በይነገጽ ወደ I2C ማስተር በTX ኮር ውስጥ።
ማስታወሻ፡- እነዚህ ምልክቶች የሚገኙት መክፈቻውን ሲያበሩ ብቻ ነው። I2Cን ያካትቱ መለኪያ.
txcore_i2c_ማስተር_ማንበብ ግቤት 1
txcore_i2c_ማስተር_አድራሻ ግቤት 4
txcore_i2c_master_writedata ግቤት 32
txcore_i2c_master_readata ውፅዓት 32
txcore_vid_ዳታ ግቤት N*48 HDMI TX ኮር የቪዲዮ ወደቦች.
ማስታወሻ፡ N = ፒክስሎች በሰዓት ሪፍ
er ወደ ምንጭ በይነገጾች ክፍል ውስጥ HDMI Intel FPGA IP የተጠቃሚ መመሪያ ለበለጠ መረጃ።
txcore_vid_vsync ግቤት N
txcore_vid_hsync ግቤት N
txcore_vid_de ግቤት N
txcore_vid_ዝግጁ ውፅዓት 1
txcore_vid_overflow ውፅዓት 1
txcore_vid_የሚሰራ ግቤት 1
txcore_frl_ሬት ግቤት 4 SCDC መመዝገቢያ በይነገጾች.
txcore_frl_pattern ግቤት 16
txcore_frl_ጀምር ግቤት 1
txcore_scrambler_ማንቃት ግቤት 1
txcore_tbcr ግቤት 1
I2ሲ ምልክቶች
nios_tx_i2c_sda_in ውፅዓት 1 TX I2C ማስተር በይነገጽ ለ SCDC እና DDC ከኒዮስ II ፕሮሰሰር ወደ የውጤት ቋት።
ማስታወሻ፡- ን ካበሩት። I2Cን ያካትቱ መለኪያ፣ እነዚህ ምልክቶች በTX ኮር ውስጥ ይቀመጣሉ እና በዚህ ደረጃ አይታዩም።
nios_tx_i2c_scl_in ውፅዓት 1
nios_tx_i2c_sda_oe ግቤት 1
nios_tx_i2c_scl_oe ግቤት 1
nios_ti_i2c_sda_in ውፅዓት 1 TX I2C Master interface ከኒዮስ II ፕሮሰሰር ወደ ውፅዓት ቋት የቲ ሪድራይቨርን በBiec HDMI 2.1FMC ሴት ልጅ ካርድ ለመቆጣጠር።
nios_ti_i2c_scl_in ውፅዓት 1
nios_ti_i2c_sda_oe ግቤት 1
nios_ti_i2c_scl_oe ግቤት 1
hdmi_tx_i2c_sda ግቤት 1 TX I2C በይነገጾች ለ SCDC እና DDC በይነገጾች ከውጤት ቋት ወደ HDMI TX አያያዥ።
hdmi_tx_i2c_scl ግቤት 1
hdmi_tx_ti_i2c_sda ግቤት 1 TX I2C በይነገጽ ከውጤት ቋት ወደ TI ሪቨርቨር በ Bitec HDMI 2.1FMC ሴት ልጅ ካርድ።
hdmi_tx_ti_i2c_scl ግቤት 1
tx_hpd_req ውፅዓት 1 HDMI TX hotplug በይነገጾችን ፈልጎ ያግኙ።
hdmi_tx_hpd_n ግቤት 1

ሠንጠረዥ 19. አስተላላፊ አርቢተር ምልክቶች

ሲግናል አቅጣጫ ስፋት

መግለጫ

clk ግቤት 1 ዳግም ማዋቀር ሰዓት. ይህ ሰዓት ከዳግም ውቅረት አስተዳደር ብሎኮች ጋር አንድ አይነት ሰዓት ማጋራት አለበት።
ዳግም አስጀምር ግቤት 1 ምልክትን ዳግም አስጀምር. ይህ ዳግም ማስጀመር ከዳግም ውቅረት አስተዳደር ብሎኮች ጋር ተመሳሳይ ዳግም ማስጀመር ማጋራት አለበት።
rx_rcfg_en ግቤት 1 የ RX ዳግም ማዋቀር ሲግናል.
tx_rcfg_en ግቤት 1 TX ዳግም ማዋቀር ሲግናልን አንቃ።
rx_rcfg_ch ግቤት 2 በ RX ኮር ላይ የትኛው ቻናል እንደገና እንደሚዋቀር ያሳያል። ይህ ምልክት ሁል ጊዜ የተረጋገጠ መሆን አለበት።
tx_rcfg_ch ግቤት 2 በTX ኮር ላይ የትኛው ቻናል እንደገና እንደሚዋቀር ያሳያል። ይህ ምልክት ሁል ጊዜ የተረጋገጠ መሆን አለበት።
rx_reconfig_mgmt_write ግቤት 1 ዳግም ማዋቀር አቫሎን ትውስታ-ካርታ በይነገጾች ከ RX ዳግም ማዋቀር አስተዳደር።
rx_reconfig_mgmt_read ግቤት 1
rx_reconfig_mgmt_አድራሻ ግቤት 10
rx_reconfig_mgmt_writedata ግቤት 32
rx_reconfig_mgmt_readata ውፅዓት 32
rx_reconfig_mgmt_waitrequest ውፅዓት 1
tx_reconfig_mgmt_write ግቤት 1 ዳግም ማዋቀር አቫሎን ማህደረ ትውስታ-ካርታ በይነገጾች ከTX ዳግም ማዋቀር አስተዳደር።
tx_reconfig_mgmt_read ግቤት 1
tx_reconfig_mgmt_አድራሻ ግቤት 10
tx_reconfig_mgmt_writedata ግቤት 32
tx_reconfig_mgmt_readata ውፅዓት 32
tx_reconfig_mgmt_waitrequest ውፅዓት 1
reconfig_write ውፅዓት 1 ዳግም ማዋቀር አቫሎን ማህደረ ትውስታ-ካርታ በይነገጾች ወደ ትራንሰቨር።
እንደገና ማዋቀር_ማንበብ ውፅዓት 1
አድራሻውን እንደገና ማዋቀር ውፅዓት 10
reconfig_writedata ውፅዓት 32
rx_reconfig_readata ግቤት 32
rx_reconfig_waitጥያቄ ግቤት 1
tx_reconfig_readdata ግቤት 1
tx_reconfig_waitጥያቄ ግቤት 1
rx_cal_busy ግቤት 1 የመለኪያ ሁኔታ ምልክት ከ RX ትራንስስተር።
tx_cal_የተጨናነቀ ግቤት 1 የመለኪያ ሁኔታ ምልክት ከTX ትራንስስተር።
rx_reconfig_cal_busy ውፅዓት 1 የመለኪያ ሁኔታ ምልክት ወደ RX transceiver PHY ዳግም ማስጀመሪያ መቆጣጠሪያ።
tx_reconfig_cal_busy ውፅዓት 1 የመለኪያ ሁኔታ ምልክት ከTX transceiver PHY ዳግም ማስጀመሪያ መቆጣጠሪያ።

ሠንጠረዥ 20. RX-TX አገናኝ ምልክቶች

ሲግናል አቅጣጫ ስፋት

መግለጫ

vid_clk ግቤት 1 HDMI ቪዲዮ ሰዓት.
rx_vid_መቆለፊያ ግቤት 3 የኤችዲኤምአይ RX ቪዲዮ መቆለፊያ ሁኔታን ያሳያል።
rx_vid_የሚሰራ ግቤት 1 HDMI RX ቪዲዮ በይነገጾች.
rx_vid_de ግቤት N
rx_vid_hsync ግቤት N
rx_vid_vsync ግቤት N
rx_vid_ዳታ ግቤት N*48
rx_aux_eop ግቤት 1 HDMI RX ረዳት በይነገጾች.
rx_aux_sop ግቤት 1
rx_aux_የሚሰራ ግቤት 1
rx_aux_ዳታ ግቤት 72
tx_vid_de ውፅዓት N HDMI TX ቪዲዮ በይነገጾች.
ማስታወሻ፡ N = ፒክስሎች በሰዓት
tx_vid_hsync ውፅዓት N
tx_vid_vsync ውፅዓት N
tx_vid_ዳታ ውፅዓት N * 48
tx_vid_የሚሰራ ውፅዓት 1
tx_vid_ዝግጁ ግቤት 1
tx_aux_eop ውፅዓት 1 HDMI TX ረዳት በይነገጾች.
tx_aux_sop ውፅዓት 1
tx_aux_የሚሰራ ውፅዓት 1
tx_aux_ዳታ ውፅዓት 72
tx_aux_ዝግጁ ግቤት 1

ሠንጠረዥ 21. የመሳሪያ ስርዓት ዲዛይነር ስርዓት ምልክቶች

ሲግናል አቅጣጫ ስፋት

መግለጫ

cpu_clk_in_clk_clk ግቤት 1 የሲፒዩ ሰዓት.
ሲፒዩ_መጀመሪያ_በዳግም_ማስጀመር_ውስጥ ግቤት 1 የሲፒዩ ዳግም ማስጀመር።
ኢዲድ_ራም_ባሪያ_ተርጓሚ_አቫሎን_ፀረ_ባሪያ_0_አድራሻ ውፅዓት 8 EDID ራም መዳረሻ በይነገጾች.
ኤዲድ_ራም_ባሪያ_ተርጓሚ_አቫሎን_ፀረ_ባሪያ_0_ይፃፍ ውፅዓት 1
ኤዲድ_ራም_ባሪያ_ተርጓሚ_አቫሎን_ፀረ_ባሪያ_0_አንብቧል ውፅዓት 1
ኤዲድ_ራም_ባሪያ_ተርጓሚ_አቫሎን_ፀረ_ባሪያ_0_ሬዳታ ግቤት 8
ኤዲድ_ራም_ባሪያ_ተርጓሚ_አቫሎን_ፀረ_ባሪያ_0_የፃፈ ውፅዓት 8
ኤዲድ_ራም_ባሪያ_ተርጓሚ_አቫሎን_ፀረ_ባሪያ_0_መጠባበቅ ጥያቄ ግቤት 1
hdmi_i2c_ማስተር_i2c_ተከታታይ_sda_in ግቤት 1 I2C ማስተር በይነገጾች ከኒዮስ II ፕሮሰሰር ወደ የውጤት ቋት ለዲዲሲ እና ለኤስሲዲሲ ቁጥጥር።
hdmi_i2c_ማስተር_i2c_ተከታታይ_scl_in ግቤት 1
hdmi_i2c_ማስተር_i2c_ተከታታይ_sda_oe ውፅዓት 1
hdmi_i2c_ማስተር_i2c_ተከታታይ_scl_oe ውፅዓት 1
redriver_i2c_master_i2c_serial_sda_in ግቤት 1 የI2C ማስተር በይነገጾች ከኒዮስ II ፕሮሰሰር ወደ ውፅዓት ቋት ለTI ሪድሪቨር ቅንብር ውቅር።
redriver_i2c_master_i2c_serial_scl_in ግቤት 1
redriver_i2c_master_i2c_serial_sda_oe ውፅዓት 1
redriver_i2c_master_i2c_serial_scl_oe ውፅዓት 1
pio_in0_ውጫዊ_ግንኙነት_ወደ ውጪ መላክ ግቤት 32 ትይዩ የግቤት ውፅዓት በይነገጾች.
• ቢት 0፡ የኢዲአይዲ ማለፊያ ሁነታን ለመቆጣጠር ከተጠቃሚ_ዲፕስw ሲግናል ጋር ተገናኝቷል።
• ቢት 1፡ TX HPD ጥያቄ
• ቢት 2፡ TX ተሻጋሪ ዝግጁ
• ቢት 3፡ TX ዳግም ማዋቀር ተከናውኗል
• ቢት 4–7፡ የተያዘ
• ቢት 8–11፡ RX FRL ተመን
• ቢት 12፡ RX TMDS ቢት ሰዓት ጥምርታ
• ቢት 13–16፡ RX FRL ተቆልፏል
• ቢት 17–20፡ RX FFE ደረጃዎች
• ቢት 21፡ RX አሰላለፍ ተቆልፏል
ሲግናል አቅጣጫ ስፋት መግለጫ
• ቢት 22፡ RX ቪዲዮ መቆለፊያ
• ቢት 23፡ የኤስሲዲሲ መዝገቦችን ከውጪ ማጠቢያ ለማንበብ የተጠቃሚ ግፋ ቁልፍ 2
• ቢት 24–31፡ የተያዘ
pio_out0_ውጫዊ_ግንኙነት_ወደ ውጪ መላክ ውፅዓት 32 ትይዩ የግቤት ውፅዓት በይነገጾች.
• ቢት 0፡ TX HPD እውቅና
• ቢት 1፡ TX ማስጀመር ተከናውኗል
• ቢት 2–7፡ የተያዘ
• ቢት 8–11፡ TX FRL ተመን
• ቢት 12–27፡ TX FRL አገናኝ የሥልጠና ንድፍ
• ቢት 28፡ TX FRL ይጀምራል
• ቢት 29–31፡ የተያዘ
pio_out1_ውጫዊ_ግንኙነት_ወደ ውጪ መላክ ውፅዓት 32 ትይዩ የግቤት ውፅዓት በይነገጾች.
• ቢት 0፡ RX EDID RAM መዳረሻ
• ቢት 1፡ RX FLT ዝግጁ
• ቢት 2–7፡ የተያዘ
• ቢት 8–15፡ RX FRL የምንጭ ሙከራ ውቅር
• ቢት 16–31፡ የተያዘ

2.1. 1. ንድፍ RTL መለኪያዎች
ዲዛይኑን ለማበጀት የ HDMI TX እና RX Top RTL መለኪያዎችን ይጠቀሙampለ.
አብዛኛዎቹ የንድፍ መመዘኛዎች በ ውስጥ ይገኛሉ ንድፍ Example የ HDMI ኢንቴል FPGA IP መለኪያ አርታዒ ትር. አሁንም ንድፍ መቀየር ይችላሉ exampበ RTL መለኪያዎች በኩል በፓራሜትር አርታዒ ውስጥ ያደረጓቸው ቅንብሮች።
ሠንጠረዥ 22. HDMI RX ከፍተኛ መለኪያዎች

መለኪያ

ዋጋ

መግለጫ

SUPPORT_DEEP_COLOR • 0: ምንም ጥልቅ ቀለም የለም
• ጥልቅ ቀለም
ኮር ጥልቅ የቀለም ቅርጸቶችን መደበቅ ይችል እንደሆነ ይወስናል።
SUPPORT_AUXILIARY • 0፡ AUX የለም።
•1፡ AUX
የረዳት ሰርጥ ኢንኮዲንግ መካተቱን ይወስናል።
SYMBOLS_PER_CLOCK 8 ለ Intel Aria 8 መሳሪያዎች በሰዓት 10 ምልክቶችን ይደግፋል።
SUPPORT_AUDIO • 0፡ ኦዲዮ የለም።
• 1፡ ኦዲዮ
ኮር ኦዲዮን መደበቅ መቻሉን ይወስናል።
EDID_RAM_ADDR_WIDTH 8 (ነባሪ እሴት) የ EDID RAM መጠን 2 መዝገብ።
BITEC_DAUGHTER_CARD_REV •0፡ የትኛውንም የBiec HDMI ሴት ልጅ ካርድ አለማነጣጠር
•4፡Biec HDMI ሴት ልጅ ካርድ ክለሳ 4ን ይደግፋል
•6፡Biec HDMI ሴት ልጅ ካርድ ክለሳ ላይ ማነጣጠር 6
• 11፡ የBiec HDMI ሴት ልጅ ካርድ ክለሳ 11ን ማነጣጠር (ነባሪ)
ጥቅም ላይ የዋለው የBiec HDMI ሴት ልጅ ካርድ ክለሳ ይገልጻል። ማሻሻያውን ሲቀይሩ ዲዛይኑ የመተላለፊያ ቻናሎቹን ይቀይራል እና በBiec HDMI ሴት ልጅ ካርድ መስፈርቶች መሰረት ፖላሪቲውን ይገለበጥ ይሆናል. የBITEC_DAUGHTER_CARD_REV ልኬትን ወደ 0 ካቀናበሩት ዲዛይኑ በትራንስሲቨር ቻናሎች እና በፖላሪቲው ላይ ምንም አይነት ለውጥ አያመጣም።
POLARITY_INVERSION • 0፡ የተገለበጠ ዋልታ
• 1፡ ዋልታ አትገለብጥ
የእያንዳንዱን የግቤት ውሂብ ዋጋ ለመገልበጥ ይህን ግቤት ወደ 1 ያዋቅሩት። ይህንን ግቤት ወደ 1 ማዋቀር 4'b1111 ወደ RX transceiver rx_polinv ይመድባል።

ጠረጴዛ 23. HDMI TX ከፍተኛ መለኪያዎች

መለኪያ

ዋጋ

መግለጫ

ኤፍፒኤልኤልን ተጠቀም 1 ለIntel Arria 10 መሳሪያዎች fPLLን እንደ TX PLL ብቻ ይደግፋል። ይህንን ግቤት ሁል ጊዜ ወደ 1 ያዘጋጁ።
SUPPORT_DEEP_COLOR • 0: ጥልቅ ቀለም የለም

• 1: ጥልቅ ቀለም

ኮር ጥልቅ የቀለም ቅርጸቶችን መደበቅ ይችል እንደሆነ ይወስናል።
SUPPORT_AUXILIARY • 0፡ AUX የለም።
• 1፡ AUX
የረዳት ሰርጥ ኢንኮዲንግ መካተቱን ይወስናል።
SYMBOLS_PER_CLOCK 8 ለ Intel Aria 8 መሳሪያዎች በሰዓት 10 ምልክቶችን ይደግፋል።
SUPPORT_AUDIO • 0፡ ኦዲዮ የለም።
• 1፡ ኦዲዮ
ኮር ኦዲዮን መደበቅ መቻሉን ይወስናል።
BITEC_DAUGHTER_CARD_REV • 0፡ የትኛውንም የBiec HDMI ሴት ልጅ ካርድ አለማነጣጠር
• 4፡ Bitec HDMI ሴት ልጅ ካርድ ክለሳ 4ን ይደግፋል
• 6፡ የBiec HDMI ሴት ልጅ ካርድ ክለሳ 6 ላይ ማነጣጠር
• 11፡ የBiec HDMI ሴት ልጅ ካርድ ክለሳ 11ን ማነጣጠር (ነባሪ)
ጥቅም ላይ የዋለው የBiec HDMI ሴት ልጅ ካርድ ክለሳ ይገልጻል። ማሻሻያውን ሲቀይሩ ዲዛይኑ የመተላለፊያ ቻናሎቹን ይቀይራል እና በBiec HDMI ሴት ልጅ ካርድ መስፈርቶች መሰረት ፖላሪቲውን ይገለበጥ ይሆናል. የBITEC_DAUGHTER_CARD_REV ልኬትን ወደ 0 ካቀናበሩት ዲዛይኑ በትራንስሲቨር ቻናሎች እና በፖላሪቲው ላይ ምንም አይነት ለውጥ አያመጣም።
POLARITY_INVERSION • 0፡ የተገለበጠ ዋልታ
• 1፡ ዋልታ አትገለብጥ
የእያንዳንዱን የግቤት ውሂብ ዋጋ ለመገልበጥ ይህንን ግቤት ወደ 1 ያዋቅሩት። ይህንን ግቤት ወደ 1 ማዋቀር 4'b1111 ወደ tx_polinv የTX ትራንስሴቨር ይመድባል።

2.12. የሃርድዌር ማዋቀር
የኤችዲኤምአይ FRL-የነቃ ንድፍ example HDMI 2.1 የሚችል እና ለመደበኛ የኤችዲኤምአይ ቪዲዮ ዥረት የ loopthrough ማሳያን ይሰራል።
የሃርድዌር ሙከራን ለማስኬድ በኤችዲኤምአይ የነቃ መሳሪያ—እንደ ኤችዲኤምአይ በይነገጽ ያለው የግራፊክስ ካርድ—ከኤችዲኤምአይ መስመጥ ግብዓት ጋር ያገናኙ። ዲዛይኑ ሁለቱንም HDMI 2.1 ወይም HDMI 2.0/1.4b ምንጭ እና ማጠቢያን ይደግፋል።

  1. የኤችዲኤምአይ መስመጥ ወደብ ወደ መደበኛ የቪዲዮ ዥረት ይከፍታል እና ወደ የሰዓት መልሶ ማግኛ ኮር ይልካል።
  2. የኤችዲኤምአይ RX ኮር የቪዲዮ፣ ረዳት እና የድምጽ መረጃ ከኤችዲኤምአይ TX ኮር ጋር በትይዩ በDCFIFO በኩል እንዲቀለበስ ያደርጋል።
  3. የኤፍኤምሲ ሴት ካርድ የኤችዲኤምአይ ምንጭ ወደብ ምስሉን ወደ ሞኒተር ያስተላልፋል።

ማስታወሻ፡-
ሌላ የIntel FPGA ልማት ቦርድ ለመጠቀም ከፈለጉ የመሳሪያውን ምደባ እና የፒን ምደባ መቀየር አለብዎት። የትራንስሲቨር አናሎግ መቼት ለIntel Arria 10 FPGA ልማት ኪት እና Bitec HDMI 2.1 ሴት ልጅ ካርድ ተፈትኗል። የእራስዎን ሰሌዳ ቅንጅቶችን ማስተካከል ይችላሉ.
ሠንጠረዥ 24. በቦርድ ላይ የግፋ አዝራር እና የተጠቃሚ የ LED ተግባራት

የግፊት ቁልፍ/ LED

ተግባር

ሲፒዩ_ዳግም ማስጀመር የስርዓት ዳግም ለማስጀመር አንድ ጊዜ ይጫኑ።
ተጠቃሚ_ዲፕስ የመተላለፊያ ሁነታን ለመቀየር በተጠቃሚ የተገለጸ የዲአይፒ ቀይር።
• ጠፍቷል (ነባሪ ቦታ) = ማለፊያ
HDMI RX በ FPGA ላይ ኤዲአይዲውን ከውጭ ማጠቢያ ያገኛል እና ከተገናኘው ውጫዊ ምንጭ ጋር ያቀርባል.
በርቷል = የRX ከፍተኛውን የFRL መጠን ከኒዮስ II ተርሚናል መቆጣጠር ይችላሉ። ትዕዛዙ ከፍተኛውን የFRL ተመን እሴት በመቆጣጠር RX EDIDን ይቀይራል።
የተለያዩ የFRL ዋጋዎችን ስለማዘጋጀት ለበለጠ መረጃ ንድፉን በተለያዩ የFRL ተመኖች ማስኬድ በገጽ 33 ይመልከቱ።
ተጠቃሚ_ፒቢ[0] የኤችፒዲ ሲግናሉን ወደ መደበኛው የኤችዲኤምአይ ምንጭ ለመቀየር አንድ ጊዜ ይጫኑ።
ተጠቃሚ_ፒቢ[1] የተያዘ
ተጠቃሚ_ፒቢ[2] የSCDC መዝገቦችን ከBiec HDMI 2.1FMC ሴት ልጅ ካርድ TX ጋር ከተገናኘው ማጠቢያ ገንዳ ለማንበብ አንድ ጊዜ ይጫኑ።
ማስታወሻ፡- ማንበብን ለማንቃት DEBUG_MODEን በሶፍትዌሩ ውስጥ 1 ማቀናበር አለብህ።
USER_LED[0] RX TMDS ሰዓት PLL መቆለፊያ ሁኔታ።
•0 = ተከፍቷል።
• 1 = ተቆልፏል
USER_LED[1] የ RX አስተላላፊ ዝግጁ ሁኔታ።
•0 = ዝግጁ አይደለም።
• 1 = ዝግጁ
USER_LED[2] RX ማገናኛ ፍጥነት ሰዓት PLL፣ እና RX ቪዲዮ እና FRL ሰዓት PLL መቆለፊያ ሁኔታ።
• 0 = ከ RX ሰዓት PLL አንዱ ተከፍቷል።
• 1 = ሁለቱም RX ሰዓት PLLs ተቆልፈዋል
USER_LED[3] RX HDMI ዋና አሰላለፍ እና የዴስኬው መቆለፊያ ሁኔታ።
• 0 = ቢያንስ 1 ቻናል ተከፍቷል።
• 1 = ሁሉም ቻናሎች ተቆልፈዋል
USER_LED[4] RX HDMI ቪዲዮ መቆለፊያ ሁኔታ።
• 0 = ተከፍቷል።
• 1 = ተቆልፏል
USER_LED[5] የቲኤክስ አገናኝ ፍጥነት ሰዓት PLL፣ እና TX ቪዲዮ እና FRL የሰዓት PLL መቆለፊያ ሁኔታ።
•0 = ከTX ሰዓት PLL አንዱ ተከፍቷል።
• 1 = ሁለቱም TX ሰዓት PLLs ተቆልፈዋል
USER_LED[6] USER_LED[7] TX ትራንስሴቨር ዝግጁ ሁኔታ።
• 0 = ዝግጁ አይደለም
• 1 = ዝግጁ
TX አገናኝ ስልጠና ሁኔታ.
• 0 = አልተሳካም።
• 1 = አለፈ

2.13. የማስመሰል Testbench
የማስመሰል ቴስትቤንች የኤችዲኤምአይ TX ተከታታይ loopback ወደ RX ኮር ያስመስለዋል።
ማስታወሻ፡-
ይህ የማስመሰል ሙከራ ቤንች የ I2C አካትት ግቤት ለነቃ ዲዛይኖች አይደገፍም።
ምስል 19. ኤችዲኤምአይ ኢንቴል FPGA IP ማስመሰል Testbench የማገጃ ንድፍintel HDMI Arria 10 FPGA IP ንድፍ Example - አግድ ንድፍ 2ሠንጠረዥ 25. Testbench ክፍሎች

አካል

መግለጫ

ቪዲዮ TPG የቪዲዮ ሙከራ ጥለት ጀነሬተር (TPG) የቪዲዮ ማነቃቂያውን ያቀርባል።
ኦዲዮ ኤስample Gen ኦዲዮው sample ጄኔሬተር ኦዲዮ s ያቀርባልample stimulus. ጀነሬተሩ በድምጽ ቻናል የሚተላለፍ እየጨመረ የሚሄድ የሙከራ ውሂብ ንድፍ ያመነጫል።
ኦክስ ኤስample Gen አክስ ኤስample Generator ረዳት s ይሰጣልample stimulus. ጀነሬተር ከማስተላለፊያው የሚተላለፍ ቋሚ ውሂብ ያመነጫል።
CRC ቼክ ይህ አረጋጋጭ የ TX transceiver የተገኘው የሰዓት ድግግሞሽ ከተፈለገው የውሂብ መጠን ጋር የሚዛመድ ከሆነ ያረጋግጣል።
የድምጽ ውሂብ ፍተሻ የድምጽ ዳታ ፍተሻው እየጨመረ የመጣው የሙከራ ውሂብ ስርዓተ-ጥለት ተቀብሎ በትክክል መፈታቱን ያነጻጽራል።
Aux Data Check የ aux ዳታ ፍተሻ የሚጠበቀው aux ዳታ መቀበሉን እና በተቀባዩ በኩል በትክክል ዲኮድ መደረጉን ያወዳድራል።

የኤችዲኤምአይ ሲሙሌሽን የሙከራ ቤንች የሚከተሉትን የማረጋገጫ ሙከራዎች ያደርጋል።

HDMI ባህሪ

ማረጋገጥ

የቪዲዮ ውሂብ • testbench የግቤት እና የውጤት ቪዲዮ ላይ CRC ፍተሻን ተግባራዊ ያደርጋል።
• በተቀበለው የቪዲዮ ውሂብ ውስጥ በተሰላው CRC ላይ የተላለፈውን ውሂብ CRC ዋጋ ይፈትሻል።
• testbench ከተቀባዩ 4 የተረጋጋ የV-SYNC ምልክቶችን ካገኘ በኋላ ፍተሻውን ያደርጋል።
ረዳት ውሂብ • አክስ ኤስample Generator ከማስተላለፊያው የሚተላለፍ ቋሚ ውሂብ ያመነጫል።
• በተቀባዩ በኩል፣ ጀነሬተሩ የሚጠበቀው ረዳት መረጃ መቀበሉን እና በትክክል ዲኮድ መደረጉን ያወዳድራል።
የድምጽ ውሂብ • ኦዲዮውample ጄኔሬተር በድምጽ ቻናል በኩል የሚተላለፍ እየጨመረ የሚሄድ የሙከራ ውሂብ ንድፍ ያመነጫል።
• በተቀባዩ በኩል፣ የድምጽ ዳታ አራሚው እየጨመረ ያለው የሙከራ ዳታ ስርዓተ-ጥለት በትክክል መቀበሉን እና መፈታቱን ያረጋግጣል እና ያወዳድራል።

የተሳካ የማስመሰል ስራ በሚከተለው መልእክት ያበቃል።
# ምልክቶች_በአንድ_ሰዓት = 2
# VIC = 4
# FRL_RATE = 0
# ቢፒፒ = 0
# የድምጽ_ድግግሞሽ (kHz) = 48
# ኦዲዮ_ቻናል = 8
# የማስመሰል ማለፊያ
ጠረጴዛ 26. ኤችዲኤምአይ ኢንቴል FPGA IP ንድፍ ዘፀample የሚደገፉ Simulators

አስመሳይ

Verilog HDL

ቪኤችዲኤል

ሞዴል ሲም - ኢንቴል FPGA እትም / ሞዴል ሲም - ኢንቴል FPGA ማስጀመሪያ እትም አዎ አዎ
ቪሲኤስ/ቪሲኤስ MX አዎ አዎ
ሪቪዬራ-PRO አዎ አዎ
Xcelium ትይዩ አዎ አይ

2.14. የንድፍ ገደቦች
የኤችዲኤምአይ 2.1 ዲዛይኑን ቅጽበታዊ ሲያደርጉ አንዳንድ ገደቦችን ግምት ውስጥ ማስገባት አለብዎትampለ.

  • TX ማለፊያ ባልሆነ ሁነታ ላይ በTMDS ሁነታ መስራት አይችልም። በTMDS ሁነታ ለመሞከር የተጠቃሚ_ዲፕስውን ወደ ማለፊያ ሁነታ ይቀይሩት።
  • የኒዮስ II ፕሮሰሰር የ TX አገናኝ ስልጠናን ያለ ምንም መቆራረጥ ከሌሎች ሂደቶች ማገልገል አለበት።

2.15. የማረሚያ ባህሪያት
ይህ ንድፍ example እርስዎን ለመርዳት የተወሰኑ የማረሚያ ባህሪያትን ያቀርባል።
2.15.1. የሶፍትዌር ማረም መልእክት
የአሂድ ጊዜ እገዛን ለመስጠት በሶፍትዌሩ ውስጥ ያለውን የማረም መልእክት ማብራት ይችላሉ።
በሶፍትዌሩ ውስጥ ያለውን የማረም መልእክት ለማብራት የሚከተሉትን ደረጃዎች ይከተሉ።

  1. DEBUG_MODEን በ global.h ስክሪፕት ወደ 1 ቀይር።
  2. በNios II Command Shell ላይ ስክሪፕት/build_sw.shን ያሂዱ።
  3. የመነጨውን ሶፍትዌር/tx_control/tx_control.elf እንደገና ፕሮግራም አድርግ file ትዕዛዙን በ Nios II Command Shell ላይ በማሄድ፡-
    nios2-ማውረድ -r -g ሶፍትዌር/tx_control/tx_control.elf
  4. የኒዮስ II ተርሚናል ትዕዛዙን በNios II Command Shell ላይ ያሂዱ፡-
    nios2-ተርሚናል

የማረም መልዕክቱን ሲያበሩ የሚከተለው መረጃ ያትማል፡-

  • በሁለቱም TX እና RX ላይ የቲ ሪድራይቨር ቅንጅቶች ELF ፕሮግራም ካደረጉ በኋላ አንድ ጊዜ ይነበባሉ እና ይታያሉ file.
  • ለRX EDID ውቅር እና ለሆትፕሎግ ሂደት የሁኔታ መልእክት
  • ከTX ጋር በተገናኘው ማጠቢያ ላይ ከ EDID የወጣውን የFRL ድጋፍ መረጃ ያለው ወይም ያለ መፍትሄ። ይህ መረጃ ለእያንዳንዱ TX hotplug ይታያል።
  • በTX አገናኝ ስልጠና ወቅት ለTX አገናኝ ስልጠና ሂደት የሁኔታ መልእክት።

2.15.2. የኤስ.ሲ.ሲ.ሲ መረጃ ከማጠቢያ ገንዳ ከTX ጋር ተገናኝቷል።
የSCDC መረጃ ለማግኘት ይህንን ባህሪ መጠቀም ይችላሉ።

  1. የኒዮስ II ተርሚናል ትዕዛዙን በNios II Command Shell: nios2-terminal ላይ ያሂዱ
  2. በIntel Arria 2 FPGA ልማት ኪት ላይ user_pb [10]ን ይጫኑ።

ሶፍትዌሩ የ SCDC መረጃን በኒዮስ II ተርሚናል ላይ ከ TX ጋር በተገናኘው ማጠቢያ ገንዳ ላይ ያነባል እና ያሳያል።
2.15.3. የሰዓት ድግግሞሽ መለኪያ
ለተለያዩ ሰዓቶች ድግግሞሹን ለመፈተሽ ይህንን ባህሪ ይጠቀሙ።

  1. በhdmi_rx_top እና hdmi_tx_top ውስጥ files፣ uncomment "//"DEBUG_EN 1ን ይግለጹ"።
  2. የእያንዳንዱን ሰዓት የሰዓት ድግግሞሽ ለማግኘት ከእያንዳንዱ mr_rate_detect ምሳሌ የ refclock_measure ሲግናልን ወደ ሲግናል ታፕ ሎጂክ ተንታኝ ያክሉ (በ10 ms ቆይታ)።
  3. ንድፉን በSignal Tap Logic Analyzer ያጠናቅቁ።
  4. የ SOF ፕሮግራም file እና የሲግናል ታፕ ሎጂክ ትንታኔን ያሂዱ።

ሠንጠረዥ 27. ሰዓቶች

ሞጁል ሚስተር_ሬት_አግኝ ምሳሌ

የሚለካበት ሰዓት

hdmi_rx_ከላይ rx_pll_tmds RX CDR ማመሳከሪያ ሰዓት 0
rx_clk0_freq RX ተለዋጭ ሰዓት ከሰርጥ 0 ወጥቷል።
rx_vid_clk_freq RX ቪዲዮ ሰዓት
rx_frl_clk_freq RX FRL ሰዓት
rx_hsync_freq የተቀበለው የቪዲዮ ፍሬም የ Hsync ድግግሞሽ
hdmi_tx_ከላይ tx_clk0_freq TX ትራንስሴቨር ሰዓት ከሰርጥ 0 ወጥቷል።
vid_clk_freq TX ቪዲዮ ሰዓት
frl_clk_freq TX FRL ሰዓት
tx_hsync_freq የሚተላለፈው የቪዲዮ ፍሬም Hsync ድግግሞሽ

2.16. ንድፍዎን ማሻሻል
ሠንጠረዥ 28. HDMI ንድፍ Example ከቀዳሚው የ Intel Quartus Prime Pro እትም የሶፍትዌር ስሪት ጋር ተኳሃኝነት

ንድፍ Example Variant ወደ Intel Quartus Prime Pro እትም 20.3 የማሻሻል ችሎታ
HDMI 2.1 ንድፍ Example (FRL ድጋፍ = 1) አይ

ለማንኛውም ተኳሃኝ ያልሆነ ንድፍ የቀድሞampስለዚህ የሚከተሉትን ማድረግ አለብዎት:

  1. አዲስ ንድፍ ይፍጠሩ exampአሁን ባለው የ Intel Quartus Prime Pro እትም የሶፍትዌር ስሪት ውስጥ የእርስዎን ነባር ንድፍ ተመሳሳይ ውቅረቶችን በመጠቀም።
  2. ሙሉውን ንድፍ አወዳድር example ማውጫ ከዲዛይኑ የቀድሞample የቀደመው የኢንቴል ኳርትስ ፕራይም ፕሮ እትም የሶፍትዌር ሥሪትን በመጠቀም የተፈጠረ። በተገኙት ለውጦች ላይ ወደብ።

HDMI 2.0 ንድፍ Example (FRL ድጋፍ = 0)

የ HDMI Intel FPGA IP ንድፍ ምሳሌampሶስት የ RX ቻናሎችን እና አራት TX ቻናሎችን የያዘ አንድ የኤችዲኤምአይ ምሳሌ ትይዩ loopback ያሳያል።
ጠረጴዛ 29. ኤችዲኤምአይ ኢንቴል FPGA IP ንድፍ ዘፀample ለ Intel Aria 10 መሳሪያዎች

ንድፍ Example የውሂብ መጠን የሰርጥ ሁኔታ Loopback አይነት
Arria 10 HDMI RX-TX እንደገና ማስተላለፍ < 6,000Mbps ሲምፕሌክስ ከ FIFO ቋት ጋር ትይዩ

ባህሪያት

  • ዲዛይኑ በኤችዲኤምአይ መስመጥ እና በምንጩ መካከል ቀጥተኛ የኤችዲኤምአይ ቪዲዮ ዥረት መተላለፊያን ለማከናወን የ FIFO ቋቶችን ያፋጥናል።
  • ዲዛይኑ የ LED ሁኔታን ለቅድመ ማረም s ይጠቀማልtage.
  • ዲዛይኑ ከ RX እና TX አማራጮች ጋር ብቻ ነው የሚመጣው።
  • ዲዛይኑ በ RX-TX ማገናኛ ሞጁል ውስጥ የ Dynamic Range እና Mastering (HDR) InfoFrame ማስገባት እና ማጣራት ያሳያል።
  • ዲዛይኑ በTX hot-plug ክስተት ሲቀሰቀስ የ EDID passthrough አስተዳደርን ከውጫዊ HDMI ማጠቢያ ወደ ውጫዊ HDMI ምንጭ ያሳያል.
  • ዲዛይኑ የኤችዲኤምአይ ቲኤክስ ዋና ምልክቶችን ለማስተዳደር በዲአይፒ ማብሪያና በግፊት ቁልፍ አማካኝነት የአሂድ ጊዜ ቁጥጥርን ይፈቅዳል፡-
    — ሁነታ ሲግናል DVI ወይም ኤችዲኤምአይ የተመሰጠረ የቪዲዮ ፍሬም ለመምረጥ
    - info_avi[47]፣ info_vsi[61] እና audio_info_ai[48] ረዳት ፓኬት በጎን ባንድ ወይም በረዳት ዳታ ወደቦች በኩል ማስተላለፍን ለመምረጥ ምልክቶች

የ RX ምሳሌ የቪዲዮ ምንጭን ከውጪው ቪዲዮ ጀነሬተር ይቀበላል እና ውሂቡ ወደ TX ምሳሌ ከመተላለፉ በፊት በ FIFO loopback በኩል ያልፋል።
ተግባራዊነቱን ለማረጋገጥ ውጫዊ የቪዲዮ ተንታኝ፣ ሞኒተር ወይም ቴሌቪዥን ከኤችዲኤምአይ ጋር ግንኙነት ከ TX ኮር ጋር ማገናኘት አለቦት።
3.1. ኤችዲኤምአይ 2.0 RX-TX የንድፍ አግድ ሥዕላዊ መግለጫ
የኤችዲኤምአይ 2.0 RX-TX ድጋሚ ማስተላለፊያ ንድፍ ምሳሌample ትይዩ loopback በ simplex ቻናል ሁነታ ለኤችዲኤምአይ ኢንቴል FPGA አይፒ ያሳያል።
ምስል 20. ኤችዲኤምአይ RX-TX የማገጃ ንድፍ (Intel Quartus Prime Pro እትም) እንደገና ማስተላለፍintel HDMI Arria 10 FPGA IP ንድፍ Example - አግድ ንድፍ 3ምስል 21. ኤችዲኤምአይ RX-TX የዳግም ማስተላለፊያ አግድ ንድፍ (Intel Quartus Prime Standard Edition)intel HDMI Arria 10 FPGA IP ንድፍ Example - አግድ ንድፍ 4ተዛማጅ መረጃ
የ PLL Cascading ወይም ለአርሪያ 10 ፒኤልኤል ማጣቀሻ ሰዓት ጂተር የንድፍዎ ሰዓቶች ተጨማሪ ካጋጠሙ ይህንን መፍትሄ ይመልከቱ
ግርግር
3.2. የሃርድዌር እና የሶፍትዌር መስፈርቶች
ኢንቴል ዲዛይኑን ለመፈተሽ የሚከተለውን ሃርድዌር እና ሶፍትዌር ይጠቀማልampለ.
ሃርድዌር

  • Intel Arria 10 GX FPGA ልማት ኪት
  • የኤችዲኤምአይ ምንጭ (ግራፊክስ ፕሮሰሰር ክፍል (ጂፒዩ))
  • ኤችዲኤምአይ ሲንክ (ተቆጣጣሪ)
  • Biec HDMI FMC 2.0 ሴት ልጅ ካርድ (ክለሳ 11)
  • HDMI ገመዶች

ማስታወሻ፡-
የእርስዎን Biec HDMI ሴት ልጅ ካርድ ክለሳ መምረጥ ይችላሉ። የአካባቢ መለኪያ BITEC_DAUGHTER_CARD_REV ወደ 4፣ 6 ወይም 11 በከፍተኛ ደረጃ ያቀናብሩ file (a10_hdmi2_demo.v)። ማሻሻያውን ሲቀይሩ ዲዛይኑ የመተላለፊያ ቻናሎቹን ይቀይራል እና በBiec HDMI ሴት ልጅ የካርድ መስፈርቶች መሰረት ፖላሪቲውን ሊገለበጥ ይችላል። የBITEC_DAUGHTER_CARD_REV ልኬትን ወደ 0 ካቀናበሩት ዲዛይኑ በትራንስሲቨር ቻናሎች እና በፖላሪቲው ላይ ምንም አይነት ለውጥ አያመጣም። ለ HDMI 2.1 ንድፍ ምሳሌamples፣ በዲዛይን Exampለ ትር፣ የኤችዲኤምአይ ሴት ካርድ ክለሳ ወደ ክለሳ 9፣ ክለሳ 4፣ ወይም ሴት ልጅ ካርድ የለም። ነባሪው ዋጋ ክለሳ 9 ነው።
ሶፍትዌር

  • Intel Quartus Prime ስሪት 18.1 እና ከዚያ በኋላ (ለሃርድዌር ሙከራ)
  • ModelSim – Intel FPGA እትም፣ ሞዴል ሲም – ኢንቴል FPGA ማስጀመሪያ እትም፣፣ RivieraPRO፣ VCS (Verilog HDL ብቻ)/VCS MX፣ ወይም Xcelium Parallel simulator

3.3. ማውጫ መዋቅር
ማውጫዎቹ የተፈጠረውን ይዘዋል። files ለ HDMI Intel FPGA IP ንድፍ ምሳሌampለ.
ምስል 22. ማውጫ መዋቅር ለንድፍ Exampleintel HDMI Arria 10 FPGA IP ንድፍ Example - አግድ ንድፍ 5ሠንጠረዥ 30. የተፈጠረ RTL Files

አቃፊዎች Files
gxb • /gxb_rx.qsys (Intel Quartus Prime Standard Edition)
• /gxb_rx.ip (Intel Quartus Prime Pro እትም)
• /gxb_rx_reset.qsys (Intel Quartus Prime Standard Edition)
• /gxb_rx_reset.ip (Intel Quartus Prime Pro እትም)
• /gxb_tx.qsys (Intel Quartus Prime Standard Edition)
• /gxb_tx.ip (Intel Quartus Prime Pro እትም)
• /gxb_tx_fpll.qsys (Intel Quartus Prime Standard Edition)
• /gxb_tx_fpll.ip (Intel Quartus Prime Pro እትም)
• /gxb_tx_reset.qsys (Intel Quartus Prime Standard Edition)
• /gxb_tx_reset.ip (Intel Quartus Prime Pro እትም)
hdmi_rx •/hdmi_rx.qsys (Intel Quartus Prime Standard Edition)
•/hdmi_rx.ip (Intel Quartus Prime Pro እትም)
/hdmi_rx_top.v
/mr_clock_sync.v (Intel Quartus Prime Standard Edition)
/mr_hdmi_rx_core_top.v (Intel Quartus Prime Standard Edition)
/mr_rx_oversample.v (Intel Quartus Prime Standard Edition)
/symbol_aligner.v
Panasonic.hex (Intel Quartus Prime Pro እትም)
hdmi_tx • /hdmi_tx.qsys (Intel Quartus Prime Standard Edition)
•/hdmi_tx.ip (Intel Quartus Prime Pro እትም)
/hdmi_tx_top.v
/mr_ce.v (Intel Quartus Prime Standard Edition)
/mr_hdmi_tx_core_top.v (Intel Quartus Prime Standard Edition)
/ mr_tx_oversample.v (Intel Quartus Prime Standard Edition)
i2c_ማስተር

(Intel Quartus Prime Standard Edition)

/i2c_master_bit_ctrl.v
/i2c_master_byte_ctrl.v
/i2c_master_defines.v
/i2c_master_top.v
/oc_i2c_master.v
/oc_i2c_master_hw.tcl
/timescale.v
i2c_ባሪያ /edid_ram.qsys (Intel Quartus Prime Standard Edition)
/Panasonic.hex (Intel Quartus Prime Standard Edition)
/i2c_avl_mst_intf_gen.v
/i2c_clk_cnt.v
/i2c_condt_det.v
/i2c_databuffer.v
/i2c_rxshifter.v
/i2c_slvfsm.v
/i2c_spksup.v
/i2c_txout.v
/i2c_txshifter.v
/i2cslave_to_avlmm_bridge.v
pll • /pll_hdmi.qsys (Intel Quartus Prime Standard Edition)
• /pll_hdmi.ip (Intel Quartus Prime Pro እትም)
• /pll_hdmi_reconfig.qsys (Intel Quartus Prime Standard Edition)
• /pll_hdmi_reconfig.ip (Intel Quartus Prime Pro እትም)
quartus.ini
የተለመደ • /clock_control.qsys (Intel Quartus Prime Standard Edition)
• /clock_control.ip (Intel Quartus Prime Pro እትም)
• /fifo.qsys (Intel Quartus Prime Standard Edition)
• /fifo.ip (Intel Quartus Prime Pro እትም)
• /output_buf_i2c.qsys (Intel Quartus Prime Standard Edition)
•/output_buf_i2c.ip (Intel Quartus Prime Pro እትም)
/reset_controller.qsys (Intel Quartus Prime Standard Edition)
/clock_crosser.v
dcfifo_inst.v
debouncer.sv (Intel Quartus Prime Pro እትም)
ኤችዲአር /altera_hdmi_aux_hdr.v
/altera_hdmi_aux_snk.v
/altera_hdmi_aux_src.v
/altera_hdmi_hdr_infoframe.v
/አቫሎን_st_mutiplexer.qsys
reconfig_mgmt /mr_compare_pll.v
/mr_compare_rx.v
/mr_rate_detect.v
/mr_reconfig_master_pll.v
/mr_reconfig_master_rx.v
/mr_reconfig_mgmt.v
/mr_rom_pll_dprioaddr.v
/mr_rom_pll_valuemask_8bpc.v
/mr_rom_pll_valuemask_10bpc.v
/mr_rom_pll_valuemask_12bpc.v
/mr_rom_pll_valuemask_16bpc.v
/mr_rom_rx_dprioaddr_bitmask.v
/mr_rom_rx_valuemask.v
/mr_state_machine.v
ኤስዲሲ /a10_hdmi2.sdc
/mr_reconfig_mgmt.sdc
/jtag.ኤስ.ዲ.ሲ
/rxtx_link.sdc
/mr_clock_sync.sdc (Intel Quartus Prime Standard Edition)

ሠንጠረዥ 31. የተፈጠረ ማስመሰል Files
ለበለጠ መረጃ የ Simulation Testbench ክፍልን ይመልከቱ።

አቃፊዎች Files
aldec /aldec.do
/rivierapro_setup.tcl
ግልጽነት /cds.lib
/hdl.var
<cds_libs አቃፊ>
መካሪ /mentor.do
/msim_setup.tcl
ሲኖፕሲዎች /ቪሲኤስ/fileዝርዝር.f
/vcs/vcs_setup.sh
/vcs/vcs_sim.sh
/vcsmx/vcsmx_setup.sh
/vcsmx/vcsmx_sim.sh
/vcsmx/synopsys_sim_setup
xcelium

(Intel Quartus Prime Pro እትም)

/cds.lib
/hdl.var
/xcelium_setup.sh
/xcelium_sim.sh
የተለመደ

(Intel Quartus Prime Pro እትም)

/ሞዴልሲም_files.tcl
/ሪቪዬራ_files.tcl
/ቪሲኤስ_files.tcl
/vcsmx_files.tcl
/xcelium_files.tcl
hdmi_rx • /hdmi_rx.qsys (Intel Quartus Prime Standard Edition)
• /hdmi_rx.ip (Intel Quartus Prime Pro እትም)
/hdmi_rx.sopcinfo (Intel Quartus Prime Standard Edition)
/Panasonic.hex (Intel Quartus Prime Pro እትም)
/symbol_aligner.v (Intel Quartus Prime Pro እትም)
hdmi_tx • /hdmi_tx.qsys (Intel Quartus Prime Standard Edition)
• /hdmi_tx.ip (Intel Quartus Prime Pro እትም)
/hdmi_tx.sopcinfo (Intel Quartus Prime Standard Edition)

ሠንጠረዥ 32.የመነጨ ሶፍትዌር Files

አቃፊዎች Files
tx_control_src
ማስታወሻ፡- tx_control አቃፊው የእነዚህን ቅጂዎች ይዟል files.
/intel_fpga_i2c.c (Intel Quartus Prime Pro እትም)
/intel_fpga_i2c.h (Intel Quartus Prime Pro እትም)
/i2c.c (Intel Quartus Prime Standard Edition)
/i2c.h (Intel Quartus Prime Standard Edition)
/ዋና.ሲ
/xcvr_gpll_rcfg.c
/xcvr_gpll_rcfg.h
/ti_i2c.c (Intel Quartus Prime Standard Edition)
/ti_i2c.h (Intel Quartus Prime Standard Edition)

3.4. የንድፍ እቃዎች
የ HDMI Intel FPGA IP ንድፍ ምሳሌample እነዚህን ክፍሎች ይጠይቃል.
ሠንጠረዥ 33. HDMI RX ከፍተኛ ክፍሎች

ሞጁል

መግለጫ

HDMI RX ኮር አይፒው ተከታታይ ውሂቡን ከTranceiver Native PHY ይቀበላል እና የውሂብ አሰላለፍን፣ የሰርጥ ዴስኬውን፣ TMDS ዲኮዲንግን፣ ረዳት ውሂብን መፍታትን፣ የቪዲዮ ውሂብን መግለጥን፣ የድምጽ ውሂብን መፍታት እና መፍታትን ያከናውናል።
I2 I2C ለሲንክ ማሳያ ዳታ ቻናል (ዲዲሲ) እና ሁኔታ እና ዳታ ቻናል (SCDC) የሚያገለግል በይነገጽ ነው። የኤችዲኤምአይ ምንጭ የተሻሻለ የተራዘመ የማሳያ መለያ መረጃን (ኢ-ኢዲአይዲ) የውሂብ መዋቅርን በማንበብ የመታጠቢያ ገንዳውን አቅም እና ባህሪያት ለመወሰን ዲዲሲን ይጠቀማል።
• የE-EDID ባለ 8-ቢት I2C ባሪያ አድራሻዎች 0xA0 እና 0xA1 ናቸው። LSB የመዳረሻ አይነትን ይጠቁማል፡ 1 ለንባብ እና 0 ለመፃፍ። የኤችፒዲ ክስተት ሲከሰት፣ የI2C ባሪያ ከቺፕ ራም በማንበብ ለኢ-ኤዲአይዲ መረጃ ምላሽ ይሰጣል።
• የI2C ባሪያ-ብቻ መቆጣጠሪያ SCDC ለኤችዲኤምአይ 2.0 ኦፕሬሽኖችም ይደግፋል። ባለ 8-ቢት I2C ባሪያ አድራሻ ለSCDC 0xA8 እና 0xA9 ናቸው። የኤችፒዲ ክስተት ሲከሰት፣ የI2C ባሪያ የኤችዲኤምአይ RX ኮር ወደ SCDC በይነገጽ ወይም ከጽሑፍ ወይም ከማንበብ ግብይት ያከናውናል።
ማስታወሻ፡- HDMI 2b የታሰበ ካልሆነ ይህ የI2.0C ባሪያ-ብቻ መቆጣጠሪያ ለSCDC አያስፈልግም። ን ካበሩት። I2Cን ያካትቱ መለኪያ፣ ይህ እገዳ በኮር ውስጥ ይካተታል እና በዚህ ደረጃ አይታይም።
ኤዲዲ ራም ዲዛይኑ RAM 1-port IP core በመጠቀም የኤዲአይዲ መረጃን ያከማቻል። መደበኛ ባለ ሁለት ሽቦ (ሰዓት እና ዳታ) ተከታታይ አውቶቡስ ፕሮቶኮል (I2C ባሪያ-ብቻ መቆጣጠሪያ) የ CEA-861-D Compliant E-EDID ውሂብ መዋቅር ያስተላልፋል። ይህ ኢዲአይዲ ራም የE-EDID መረጃን ያከማቻል።
ማስታወሻ፡- ን ካበሩት። ኢዲአይዲ ራም ያካትቱ መለኪያ፣ ይህ እገዳ በኮር ውስጥ ይካተታል እና በዚህ ደረጃ አይታይም።
IOPLL IOPLL ለመጪው TMDS ሰዓት RX CDR ማመሳከሪያ ሰዓት፣ የአገናኝ ፍጥነት ሰዓት እና የቪዲዮ ሰዓት ያመነጫል።
• የውጤት ሰዓት 0 (የሲዲአር ማመሳከሪያ ሰዓት)
• የውጤት ሰዓት 1 (የአገናኝ ፍጥነት ሰዓት)
• የውጤት ሰዓት 2 (የቪዲዮ ሰዓት)
ማስታወሻ፡- ነባሪው የIOPLL ውቅር ለማንኛውም HDMI ጥራት አይሰራም። IOPLL ሲበራ ወደ ተገቢው መቼቶች ይዋቀራል።
ትራንስሴቨር PHY ዳግም ማስጀመር መቆጣጠሪያ የ Transceiver PHY ዳግም ማስጀመሪያ መቆጣጠሪያ የ RX ትራንስሴይቨሮች አስተማማኝ ጅምርን ያረጋግጣል። የዚህ መቆጣጠሪያ ዳግም ማስጀመሪያ ግብአት በRX ዳግም ማዋቀር የተቀሰቀሰ ሲሆን በብሎኩ ውስጥ ባለው የዳግም ማስጀመሪያ ቅደም ተከተል መሰረት ተጓዳኝ የአናሎግ እና ዲጂታል ዳግም ማስጀመሪያ ሲግናልን ወደ ትራንስሲቨር Native PHY ብሎክ ያመነጫል።
RX ቤተኛ PHY ተከታታይ ውሂቡን ከውጭ የቪዲዮ ምንጭ የሚቀበል ሃርድ ትራንስቨር ብሎክ። ውሂቡን ወደ ኤችዲኤምአይ RX ኮር ከማስተላለፉ በፊት የመለያ ውሂቡን ወደ ትይዩ ዳታ ያደርገዋል።
RX ዳግም ማዋቀር አስተዳደር RX ትራንስሴይቨርን ለመንዳት ከ250Mbps እስከ 6,000Mbps ባለው የዘፈቀደ ማገናኛ ታሪፍ እንዲሰራ ለማድረግ የሬክስ ማወቂያ ወረዳን ከኤችዲኤምአይ PLL ጋር የሚተገበር የRX ዳግም ማዋቀር አስተዳደር።
ከታች በገጽ 23 ላይ ያለውን ምስል 63 ተመልከት።
IOPLL እንደገና ማዋቀር IOPLL መልሶ ማዋቀር ብሎክ በIntel FPGAs ውስጥ የ PLLs ተለዋዋጭ ቅጽበታዊ ዳግም ማዋቀርን ያመቻቻል። ይህ እገዳ ሙሉውን FPGA ሳያስተካክል የውጤት ሰዓት ድግግሞሹን እና PLL ባንድዊድዝ በእውነተኛ ጊዜ ያዘምናል። ይህ እገዳ በIntel Aria 100 መሳሪያዎች ውስጥ በ10 ሜኸር ይሰራል።
በIOPLL መልሶ ማዋቀር ገደብ ምክንያት፣ በIOPLL ዳግም ውቅረት አይፒ ማመንጨት ጊዜ Quartus INI permit_nf_pll_reconfig_out_of_lock=onን ተግብር።
Quartus INIን ለመተግበር በ quartus.ini ውስጥ "permit_nf_pll_reconfig_out_of_lock=on" ያካትቱ file እና በ ውስጥ ያስቀምጡ file የ Intel Quartus Prime ፕሮጀክት ማውጫ. የ IOPLL መልሶ ማዋቀር ብሎክን (pll_hdmi_reconfig) በ Quartus Prime ሶፍትዌር ከ INI ጋር ሲያርትዑ የማስጠንቀቂያ መልእክት ማየት አለቦት።
ማስታወሻ፡- ያለዚህ Quartus INI፣ IOPLL እንደገና በማዋቀር ጊዜ መቆለፊያ ካጣ የ IOPLL መልሶ ማዋቀር ሊጠናቀቅ አይችልም።
ፒኦ ትይዩ ግቤት/ውፅዓት (ፒአይኦ) ከሲፒዩ ንዑስ ስርዓት ወደ ወይም ከስር በይነገጾች እንደ ቁጥጥር፣ ሁኔታ እና ዳግም ማስጀመር ሆኖ ይሰራል።

ምስል 23. ባለብዙ-ደረጃ መልሶ ማዋቀር ቅደም ተከተል ፍሰት
ምስሉ የመቆጣጠሪያው የግቤት ዳታ ዥረት እና የማጣቀሻ ሰዓት ድግግሞሽ ሲቀበል ወይም ትራንስሴይቨር ሲከፈት የባለብዙ-ተመን ዳግም ማዋቀር ቅደም ተከተል ፍሰት ያሳያል።intel HDMI Arria 10 FPGA IP ንድፍ Example - አግድ ንድፍ 6ሠንጠረዥ 34. HDMI TX ከፍተኛ ክፍሎች

ሞጁል

መግለጫ

HDMI TX ኮር የአይ ፒ ኮር የቪዲዮ ውሂብን ከላይኛው ደረጃ ይቀበላል እና የTMDS ኢንኮዲንግ፣ ረዳት ዳታ ኢንኮዲንግ፣ የድምጽ ዳታ ኢንኮዲንግ፣ የቪዲዮ ዳታ ኢንኮዲንግ እና ማጭበርበር ያከናውናል።
I2C ማስተር I2C ለሲንክ ማሳያ ዳታ ቻናል (ዲዲሲ) እና ሁኔታ እና ዳታ ቻናል (SCDC) የሚያገለግል በይነገጽ ነው። የኤችዲኤምአይ ምንጭ የተሻሻለ የተራዘመ የማሳያ መለያ መረጃን (ኢ-ኢዲአይዲ) የውሂብ መዋቅርን በማንበብ የመታጠቢያ ገንዳውን አቅም እና ባህሪያት ለመወሰን ዲዲሲን ይጠቀማል።
• እንደ DDC፣ I2C Master የኤዲአይዲ መረጃን EDID RAM በ HDMI RX Top ውስጥ ለማዋቀር ወይም ለቪዲዮ ማቀነባበሪያ ኤዲአይዲውን ከውጭ ማጠቢያው ያነባል።
• እንደ SCDC፣ I2C ጌታ የSCDC ውሂብ መዋቅርን ከFPGA ምንጭ ወደ ውጫዊ ማጠቢያው ለ HDMI 2.0b ስራ ያስተላልፋል። ለ exampየወጪ ዳታ ዥረቱ ከ3,400Mbps በላይ ከሆነ የኒዮስ II ፕሮሰሰር I2C ማስተር TMDS_BIT_CLOCK_RATIO እና SCRAMBLER_ENABLE ቢትስ የሲንክ SCDC ውቅር መመዝገቢያ 1 እንዲያዘምን ያዛል።
IOPLL IOPLL የአገናኝ የፍጥነት ሰዓት እና የቪዲዮ ሰዓት ከመጪው TMDS ሰዓት ያቀርባል።
• የውጤት ሰዓት 1 (የአገናኝ ፍጥነት ሰዓት)
• የውጤት ሰዓት 2 (የቪዲዮ ሰዓት)
ማስታወሻ፡- ነባሪው የIOPLL ውቅር ለማንኛውም HDMI ጥራት አይሰራም። IOPLL ሲበራ ወደ ተገቢው መቼቶች ይዋቀራል።
ትራንስሴቨር PHY ዳግም ማስጀመር መቆጣጠሪያ የ Transceiver PHY ዳግም ማስጀመሪያ መቆጣጠሪያ የTX transceivers አስተማማኝ ጅምር ያረጋግጣል። የዚህ መቆጣጠሪያ ዳግም ማስጀመሪያ ግብዓት ከላይኛው ደረጃ ተነስቷል፣ እና በብሎክ ውስጥ ባለው ዳግም ማስጀመሪያ ቅደም ተከተል መሰረት ተጓዳኝ የአናሎግ እና ዲጂታል ዳግም ማስጀመሪያ ሲግናልን ወደ ትራንስሴቨር Native PHY ብሎክ ያመነጫል።
ከዚህ ብሎክ የሚገኘው tx_ready ውፅዓት ሲግናል ለኤችዲኤምአይ ኢንቴል ኤፍፒጂኤ አይ ፒ ዳግም ማስጀመሪያ ሲግናል ትራንስሴይቨር መስራቱን እና እየሰራ መሆኑን እና ከዋናው መረጃ ለመቀበል መዘጋጀቱን ያሳያል።
አስተላላፊ ቤተኛ PHY ትይዩ ዳታውን ከኤችዲኤምአይ TX ኮር የሚቀበል እና ውሂቡን እንዳያስተላልፍ ተከታታይ የሚያደርግ ሃርድ ትራንስቨር ብሎክ።
በTX Native PHY እና transceiver arbiter መካከል ያለውን ግንኙነት ለማሳየት በTX Native PHY ብሎክ ውስጥ ዳግም የማዋቀር በይነገጽ ነቅቷል። ለTX Native PHY ምንም ዳግም ማዋቀር አልተሰራም።
ማስታወሻ፡- የኤችዲኤምአይ TX ኢንተር-ቻናል skew መስፈርትን ለማሟላት፣ በIntel Arria 10 Transceiver Native PHY parameter editor ውስጥ የTX ቻናል ትስስር ሁነታን ያቀናብሩ። ፒኤምኤ እና ፒሲኤስ ትስስር. እንዲሁም ከፍተኛውን skew (set_max_skew) የግዳጅ መስፈርት ከትራንስሲቨር ዳግም ማስጀመሪያ መቆጣጠሪያ (tx_digitalreset) ወደ ዲጂታል ዳግም ማስጀመሪያ ሲግናል መጨመር አለብህ። Intel Arria 10 Transceiver PHY የተጠቃሚ መመሪያ.
TX PLL አስተላላፊው PLL ብሎክ ተከታታይ ፈጣን ሰዓቱን ለ Transceiver Native PHY ብሎክ ያቀርባል። ለዚህ ኤችዲኤምአይ ኢንቴል FPGA IP ንድፍ ምሳሌample, fPLL እንደ TX PLL ጥቅም ላይ ይውላል.
IOPLL እንደገና ማዋቀር IOPLL መልሶ ማዋቀር ብሎክ በIntel FPGAs ውስጥ የ PLLs ተለዋዋጭ ቅጽበታዊ ዳግም ማዋቀርን ያመቻቻል። ይህ እገዳ ሙሉውን FPGA ሳያስተካክል የውጤት ሰዓት ድግግሞሹን እና PLL ባንድዊድዝ በእውነተኛ ጊዜ ያዘምናል። ይህ እገዳ በIntel Aria 100 መሳሪያዎች ውስጥ በ10 ሜኸር ይሰራል።
በIOPLL መልሶ ማዋቀር ገደብ ምክንያት፣ በIOPLL ዳግም ውቅረት አይፒ ማመንጨት ጊዜ Quartus INI permit_nf_pll_reconfig_out_of_lock=onን ተግብር።
Quartus INIን ለመተግበር በ quartus.ini ውስጥ "permit_nf_pll_reconfig_out_of_lock=on" ያካትቱ file እና በ ውስጥ ያስቀምጡ file የ Intel Quartus Prime ፕሮጀክት ማውጫ. በIntel Quartus Prime ሶፍትዌር ውስጥ የ IOPLL መልሶ ማዋቀር ብሎክ (pll_hdmi_reconfig) ከ INI ጋር ሲያርትዑ የማስጠንቀቂያ መልእክት ማየት አለቦት።
ማስታወሻ፡- ያለዚህ Quartus INI፣ IOPLL እንደገና በማዋቀር ጊዜ መቆለፊያ ካጣ የ IOPLL መልሶ ማዋቀር ሊጠናቀቅ አይችልም።
ፒኦ ትይዩ ግቤት/ውፅዓት (ፒአይኦ) ከሲፒዩ ንዑስ ስርዓት ወደ ወይም ከስር በይነገጾች እንደ ቁጥጥር፣ ሁኔታ እና ዳግም ማስጀመር ሆኖ ይሰራል።

ሠንጠረዥ 35. የመተላለፊያ ዳታ መጠን እና ከመጠን በላይampለእያንዳንዱ የTMDS ሰዓት ድግግሞሽ ክልል ሊንግ ምክንያት

የTMDS ሰዓት ድግግሞሽ (ሜኸ) TMDS ቢት የሰዓት ሬሾ ኦቨርስampling Factor የመተላለፊያ ውሂብ ፍጥነት (Mbps)
85-150 እ.ኤ.አ 1 አይተገበርም። 3400-6000 እ.ኤ.አ
100-340 እ.ኤ.አ 0 አይተገበርም። 1000-3400 እ.ኤ.አ
50-100 እ.ኤ.አ 0 5 2500-5000 እ.ኤ.አ
35-50 እ.ኤ.አ 0 3 1050-1500 እ.ኤ.አ
30-35 እ.ኤ.አ 0 4 1200-1400 እ.ኤ.አ
25-30 እ.ኤ.አ 0 5 1250-1500 እ.ኤ.አ

ሠንጠረዥ 36. ከፍተኛ-ደረጃ የጋራ ብሎኮች

ሞጁል

መግለጫ

አስተላላፊ አርቢተር RX ወይም TX transceivers በተመሳሳዩ የአካላዊ ቻናል ውስጥ እንደገና ማዋቀር ሲፈልጉ ይህ አጠቃላይ የተግባር ማገጃ ትራንስሰቨሮች በአንድ ጊዜ እንደገና እንዲለኩ ይከላከላል። በአንድ ጊዜ ያለው የዳግም ማስተካከያ በተመሳሳይ ቻናል ውስጥ ያሉ RX እና TX transceivers ለገለልተኛ የአይፒ ትግበራዎች በተመደቡባቸው መተግበሪያዎች ላይ ተጽዕኖ ያሳድራል።
ይህ አስተላላፊ አርቢተር ሲምፕሌክስ ቲኤክስ እና ሲምፕሌክስ አርኤክስን ወደ ተመሳሳዩ አካላዊ ቻናል ለማዋሃድ ለሚመከረው ጥራት ማራዘሚያ ነው። ይህ ትራንስሴይቨር አርቢትር የአቫሎን-ኤምኤም አርኤክስ እና ቲኤክስ መልሶ ማዋቀር ጥያቄዎችን በማዋሃድ እና በማዋሃድ ይረዳል።
በዚህ ንድፍ የቀድሞ ውስጥ በትራንስሲቨር አርቢተር እና በTX/RX Native PHY/PHY ዳግም ማስጀመሪያ መቆጣጠሪያ መካከል ያለው የበይነገጽ ግንኙነትample ትራንስሴቨር አርቢተርን በመጠቀም ለማንኛውም የአይፒ ጥምር የሚተገበር አጠቃላይ ሁነታን ያሳያል። በአንድ ቻናል ውስጥ RX ወይም TX transceiver ጥቅም ላይ ሲውል የትራንስሲቨር አርቢተር አያስፈልግም።
ትራንስሲቨር አርቢተር የዳግም ውቅር ጠያቂውን በአቫሎን-ኤምኤም መልሶ ማዋቀር በይነገጾች ይለያል እና ተዛማጅ tx_reconfig_cal_busy ወይም rx_reconfig_cal_busy በዚሁ መሰረት መዘጋቱን ያረጋግጣል። ለኤችዲኤምአይ መተግበሪያ፣ RX ብቻ እንደገና ማዋቀርን ይጀምራል። የአቫሎን-ኤምኤም የመልሶ ማዋቀር ጥያቄን በግልግል ዳኛው በኩል በማስተላለፍ፣ ዳኛው የመልሶ ማዋቀር ጥያቄው ከ RX እንደመጣ ይገነዘባል፣ ከዚያም tx_reconfig_cal_busy ከማስረጃ በሮች እና rx_reconfig_cal_busy እንዲያረጋግጥ ያስችለዋል። ጌቲንግ የTX transceiver ሳይታሰብ ወደ ካሊብሬሽን ሁነታ እንዳይንቀሳቀስ ይከላከላል።
ማስታወሻ፡- ኤችዲኤምአይ የ RX ዳግም ማዋቀርን ብቻ ስለሚያስፈልገው tx_reconfig_mgmt_* ምልክቶች ጠፍተዋል። እንዲሁም፣ የአቫሎን-ኤምኤም በይነገጽ በአራቢተር እና በTX Native PHY ብሎክ መካከል አያስፈልግም። ማገጃዎቹ በንድፍ ውስጥ ባለው በይነገጽ ላይ ተመድበዋል exampከTX/RX ቤተኛ PHY/PHY ዳግም ማስጀመሪያ መቆጣጠሪያ ጋር አጠቃላይ የትራንስሲቨር አርቢትር ግንኙነትን ለማሳየት።
RX-TX አገናኝ • የቪድዮ ዳታ ውፅዓት እና የማመሳሰል ምልክቶች ከኤችዲኤምአይ RX ኮር loop በዲሲFIFO በ RX እና TX የቪዲዮ ሰዓት ጎራዎች ውስጥ።
• አጠቃላይ የቁጥጥር ፓኬት (ጂሲፒ)፣ InfoFrames (AVI፣ VSI እና AI)፣ ረዳት ውሂብ፣ እና የድምጽ ዳታ ምልልስ በDCFIFOs በ RX እና TX አገናኝ ፍጥነት የሰዓት ጎራዎች።
• የኤችዲኤምአይ TX ኮር ረዳት መረጃ ወደብ በዲሲFIFO በኩል በጀርባ ግፊት የሚፈሰውን ረዳት መረጃ ይቆጣጠራል። የኋላ ግፊት በረዳት መረጃ ወደብ ላይ ምንም ያልተሟላ ረዳት ፓኬት አለመኖሩን ያረጋግጣል።
• ይህ እገዳ እንዲሁም ውጫዊ ማጣሪያን ያከናውናል፡-
— ወደ ኤችዲኤምአይ TX ኮር ረዳት ዳታ ወደብ ከማስተላለፋችን በፊት የኦዲዮ ውሂብን እና የኦዲዮ የሰዓት እድሳት ፓኬትን ከረዳት ዳታ ዥረት ያጣራል።
ማስታወሻ፡- ይህን ማጣሪያ ለማሰናከል user_pb[2]ን ይጫኑ። በዳግም የተላለፈው ረዳት የውሂብ ዥረት ውስጥ የድምጽ ውሂብ ብዜት እና የኦዲዮ የሰዓት እድሳት ፓኬት አለመኖሩን ለማረጋገጥ ይህን ማጣሪያ ያንቁ።
- የከፍተኛ ተለዋዋጭ ክልል (ኤችዲአር) መረጃን ከኤችዲኤምአይ RX ረዳት መረጃ ያጣራል እና የቀድሞ ያስገባል።ample HDR InfoFrame ወደ HDMI TX ረዳት ውሂብ በአቫሎን ST multiplexer በኩል።
የሲፒዩ ንዑስ ስርዓት የሲፒዩ ንዑስ ስርዓት እንደ SCDC እና DDC መቆጣጠሪያዎች እና የምንጭ መልሶ ማዋቀር ተቆጣጣሪ ሆኖ ይሰራል።
• የምንጭ SCDC መቆጣጠሪያ የI2C ዋና መቆጣጠሪያን ይዟል። የI2C ዋና ተቆጣጣሪ የኤስ.ሲ.ሲ.ዲ መረጃ መዋቅርን ከ FPGA ምንጭ ወደ ውጫዊ ማጠቢያው ለኤችዲኤምአይ 2.0b ስራ ያስተላልፋል። ለ exampለ፣ የወጪ ዳታ ዥረት 6,000 ሜባበሰ ከሆነ፣ የኒዮስ II ፕሮሰሰር I2C ዋና መቆጣጠሪያውን TMDS_BIT_CLOCK_RATIO እና SCRAMBLER_ENABLE ቢትስ የሲንክ TMDS ውቅር መመዝገቢያ ወደ 1 እንዲያዘምን ያዛል።
• ተመሳሳዩ I2C ጌታ የዲዲሲ ውሂብ መዋቅርን (ኢ-ኢዲአይዲ) በኤችዲኤምአይ ምንጭ እና በውጫዊ ማጠቢያ መካከል ያስተላልፋል።
• ኒዮስ II ሲፒዩ ለኤችዲኤምአይ ምንጭ እንደ ዳግም ማዋቀር ተቆጣጣሪ ሆኖ ይሰራል። ሲፒዩ TX ዳግም ማዋቀርን የሚፈልግ ከሆነ ለመወሰን ከRX ዳግም ማዋቀር አስተዳደር ሞጁል በየጊዜው በሚደረግ የፍጥነት ማወቂያ ላይ ይመሰረታል። የአቫሎን-ኤምኤም ባሪያ ተርጓሚ በኒዮስ II ፕሮሰሰር አቫሎን-ኤምኤም ማስተር በይነገጽ እና በአቫሎን-ኤምኤም ባሪያ በይነገጾች በውጫዊ ፈጣን የኤችዲኤምአይ ምንጭ IOPLL እና TX Native PHY መካከል ያለውን በይነገጽ ያቀርባል።
• የ TX ዳግም ማዋቀር ቅደም ተከተል ፍሰት ከ RX ጋር ተመሳሳይ ነው፣ የ PLL እና ትራንስሲቨር ዳግም ማዋቀር እና ዳግም ማስጀመር ቅደም ተከተል በቅደም ተከተል ይከናወናል። በገጽ 24 ላይ ያለውን ምስል 67 ተመልከት።

ምስል 24. የመልሶ ማዋቀር ቅደም ተከተል ፍሰት
ምስሉ የI2C ማስተር እና የኤችዲኤምአይ ምንጭ መቆጣጠሪያዎችን የሚያካትት የኒዮስ II ሶፍትዌር ፍሰትን ያሳያል።intel HDMI Arria 10 FPGA IP ንድፍ Example - አግድ ንድፍ 73.5. ተለዋዋጭ ክልል እና ማስተር (ኤችዲአር) የመረጃ ፍሬም ማስገባት እና ማጣራት።
የ HDMI Intel FPGA IP ንድፍ ምሳሌample በRX-TX loopback ሲስተም ውስጥ የኤችዲአር መረጃ ፍሬም ማስገባትን ያሳያል።
የኤችዲኤምአይ ዝርዝር መግለጫ ስሪት 2.0b ተለዋዋጭ ክልል እና ማስተርing InfoFrame በኤችዲኤምአይ ረዳት ዥረት በኩል እንዲተላለፉ ያስችላቸዋል። በሠርቶ ማሳያው ላይ፣ የረዳት ዳታ ማስገቢያ ብሎክ HDR ማስገባትን ይደግፋል። የታሰበውን HDR InfoFrame ፓኬት በሞጁሉ የሲግናል ዝርዝር ሠንጠረዥ ላይ እንደተገለጸው መቅረጽ እና የቀረበውን AUX ማስገቢያ መቆጣጠሪያ ሞጁሉን በመጠቀም በእያንዳንዱ የቪዲዮ ፍሬም አንድ ጊዜ የኤችዲአር መረጃ ፍሬም ማስገባትን የጊዜ ሰሌዳ ማስያዝ ያስፈልግዎታል።
በዚህ የቀድሞampለ ውቅረት፣ ገቢው ረዳት ዥረት አስቀድሞ HDR InfoFrameን ባካተተበት ሁኔታ፣ የተለቀቀው የኤችዲአር ይዘት ይጣራል። ማጣራቱ የሚጋጩ የኤችዲአር መረጃ ክፈፎች እንዳይተላለፉ እና በኤችዲአርኤስ ውስጥ የተገለጹት እሴቶች ብቻ መሆናቸውን ያረጋግጣል።ample Data module ጥቅም ላይ ይውላሉ።
ምስል 25. RX-TX አገናኝ ከተለዋዋጭ ክልል እና ማስተር ኢንፎፍሬም ማስገቢያ ጋር
በሥዕሉ ላይ የRX-TX አገናኝን የማገጃ ንድፍ ያሳያል Dynamic Range እና Mastering InfoFrame ወደ HDMI TX ኮር ረዳት ዥረት ማስገባት።
intel HDMI Arria 10 FPGA IP ንድፍ Example - አግድ ንድፍ 8ሠንጠረዥ 37. ረዳት ውሂብ ማስገቢያ አግድ (altera_hdmi_aux_hdr) ሲግናሎች

ሲግናል አቅጣጫ ስፋት

መግለጫ

ሰዓት እና ዳግም አስጀምር
clk ግቤት 1 የሰዓት ግቤት። ይህ ሰዓት ከአገናኝ ፍጥነት ሰዓት ጋር መገናኘት አለበት።
ዳግም አስጀምር ግቤት 1 ግቤትን ዳግም አስጀምር።
ረዳት ፓኬት ጀነሬተር እና ባለብዙ ፕሌክስ ሲግናሎች
multiplexer_out_ውሂብ ውፅዓት 72 አቫሎን ዥረት ውፅዓት ከበርካታ ኤክስፐርት.
multiplexer_out_ትክክለኛ ውፅዓት 1
multiplexer_out_ዝግጁ ውፅዓት 1
multiplexer_out_startofpacket ውፅዓት 1
multiplexer_out_endofpacket ውፅዓት 1
multiplexer_out_channel ውፅዓት 11
multiplexer_in_ውሂብ ግቤት 72 የአቫሎን ዥረት ግብዓት ወደ In1 የ multiplexer ወደብ።
HDMI TX ቪዲዮ Vsync. ይህ ምልክት ከአገናኝ የፍጥነት ሰዓት ጎራ ጋር መመሳሰል አለበት።
አንጎሉ የኤችዲአር መረጃ ፍሬምን ወደ ረዳት ዥረቱ በዚህ ምልክት ከፍ ባለ ጠርዝ ላይ ያስገባል።
multiplexer_in_ ልክ ያልሆነ ግቤት 1
multiplexer_in_ዝግጁ ግቤት 1
multiplexer_in_startofpacket ግቤት 1
multiplexer_in_endofpacket
hdmi_tx_vsync
ግቤት
ግቤት
1
1

ሠንጠረዥ 38. የኤችዲአር ዳታ ሞዱል (altera_hdmi_hdr_infoframe) ሲግናሎች

ሲግናል አቅጣጫ ስፋት

መግለጫ

hb0 ውፅዓት 8 የዳይናሚክ ክልል ራስጌ ባይት 0 እና ማስተር ኢንፎ ፍሬም፡ InfoFrame አይነት ኮድ።
hb1 ውፅዓት 8 የዳይናሚክ ክልል ራስጌ ባይት 1 እና ማስተር ኢንፎ ፍሬም፡ InfoFrame ሥሪት ቁጥር።
hb2 ውፅዓት 8 የዳይናሚክ ክልል ራስጌ ባይት 2 እና ማስተር ኢንፎ ፍሬም፡ የመረጃ ፍሬም ርዝመት።
pb ግቤት 224 የዳይናሚክ ክልል እና ማስተር ኢንፎ ፍሬም የውሂብ ባይት።

ሠንጠረዥ 39. ተለዋዋጭ ክልል እና ማስተር ኢንፎፍሬም ዳታ ባይት ቅርቅብ ቢት-መስኮች

ቢት-መስክ

ፍቺ

የማይንቀሳቀስ ሜታዳታ ዓይነት 1

7፡0 ውሂብ ባይት 1፡ {5'h0፣ EOTF[2:0]}
15፡8 የውሂብ ባይት 2፡ {5'h0፣ Static_Metadata_Descriptor_ID[2:0]}
23፡16 የውሂብ ባይት 3፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_x[0]፣ LSB
31፡24 የውሂብ ባይት 4፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_x[0]፣ MSB
39፡32 የውሂብ ባይት 5፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_y[0]፣ LSB
47፡40 የውሂብ ባይት 6፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_y[0]፣ MSB
55፡48 የውሂብ ባይት 7፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_x[1]፣ LSB
63፡56 የውሂብ ባይት 8፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_x[1]፣ MSB
71፡64 የውሂብ ባይት 9፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_y[1]፣ LSB
79፡72 የውሂብ ባይት 10፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_y[1]፣ MSB
87፡80 የውሂብ ባይት 11፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_x[2]፣ LSB
95፡88 የውሂብ ባይት 12፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_x[2]፣ MSB
103፡96 የውሂብ ባይት 13፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_y[2]፣ LSB
111፡104 የውሂብ ባይት 14፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ display_primaries_y[2]፣ MSB
119፡112 የውሂብ ባይት 15፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ነጭ_ነጥብ_x፣ LSB
127፡120 የውሂብ ባይት 16፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ነጭ_ነጥብ_x፣ MSB
135፡128 የውሂብ ባይት 17፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ነጭ_ነጥብ_y፣ LSB
143፡136 የውሂብ ባይት 18፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ነጭ_ነጥብ_y፣ MSB
151፡144 የውሂብ ባይት 19፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ከፍተኛ_ማሳያ_ማስተዳድር_luminance፣ LSB
159፡152 የውሂብ ባይት 20፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ከፍተኛ_ማሳያ_ማስተዳድር_luminance፣ MSB
167፡160 የውሂብ ባይት 21፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ደቂቃ_ማሳያ_ማስተር_ብርሃን፣ LSB
175፡168 የውሂብ ባይት 22፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ደቂቃ_ማሳያ_ማስተር_ብርሃን፣ ኤምኤስቢ
183፡176 የውሂብ ባይት 23፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ከፍተኛው የይዘት ብርሃን ደረጃ፣ LSB
191፡184 የውሂብ ባይት 24፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ከፍተኛው የይዘት ብርሃን ደረጃ፣ MSB
199፡192 የውሂብ ባይት 25፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ከፍተኛው የፍሬም-አማካይ የብርሃን ደረጃ፣ LSB
207፡200 የውሂብ ባይት 26፡ የማይንቀሳቀስ_ሜታዳታ_ገላጭ ከፍተኛው የፍሬም-አማካይ የብርሃን ደረጃ፣ ኤምኤስቢ
215፡208 የተያዘ
223፡216 የተያዘ

HDR ማስገባትን እና ማጣራትን በማሰናከል ላይ
የኤችዲአር ማስገባትን እና ማጣሪያን ማሰናከል በRX-TX Retransmit ንድፍ ውስጥ ያለ ምንም ማሻሻያ ከምንጩ ረዳት ዥረት ውስጥ የሚገኘውን የኤችዲአር ይዘት እንደገና መተላለፉን እንዲያረጋግጡ ያስችልዎታል።ampለ.
HDR InfoFrame ማስገባት እና ማጣራትን ለማሰናከል፡-

  1. block_ext_hdr_infoframeን በrxtx_link.v ውስጥ ወደ 1'b0 አቀናብር file ከረዳት ዥረት የ HDR InfoFrame ማጣሪያን ለመከላከል።
  2. ከ avalon_st_multiplexer ምሳሌ ውስጥ multiplexer_in0_valid ያቀናብሩ altera_hdmi_aux_hdr.v file ወደ 1'b0 ረዳት ፓኬት ጀነሬተር እንዳይፈጥር እና ተጨማሪ HDR InfoFrameን ወደ TX አጋዥ ዥረት እንዳያስገባ።

3.6. የሰዓት መርሃ ግብር
የሰዓት አሠራሩ የሰዓት ጎራዎችን በኤችዲኤምአይ ኢንቴል FPGA IP ንድፍ ውስጥ ያሳያልampለ.
ምስል 26. HDMI Intel FPGA IP ንድፍ Example Clocking Scheme (Intel Quartus Prime Pro እትም)intel HDMI Arria 10 FPGA IP ንድፍ Example - አግድ ንድፍ 9ምስል 27. HDMI Intel FPGA IP ንድፍ Example Clocking Scheme (Intel Quartus Prime Standard Edition)intel HDMI Arria 10 FPGA IP ንድፍ Example - አግድ ንድፍ 10ሠንጠረዥ 40. የሰዓት እቅድ ምልክቶች

ሰዓት በንድፍ ውስጥ የምልክት ስም

መግለጫ

TX IOPLL/TX PLL የማጣቀሻ ሰዓት 1 hdmi_clk_in የማጣቀሻ ሰዓት ወደ TX IOPLL እና TX PLL። የሰዓት ድግግሞሹ ከኤችዲኤምአይ TX TMDS የሰዓት ሰርጥ ከሚጠበቀው የTMDS ሰዓት ድግግሞሽ ጋር ተመሳሳይ ነው።
ለዚህ ኤችዲኤምአይ ኢንቴል FPGA IP ንድፍ ምሳሌample, ይህ ሰዓት ለማሳየት ዓላማ ከ RX TMDS ሰዓት ጋር የተገናኘ ነው. በማመልከቻዎ ውስጥ ለተሻለ የጅረት አፈፃፀም የተወሰነ ሰዓት ከTMDS የሰዓት ድግግሞሽ ጋር ከፕሮግራም ሊደረግ ከሚችል oscillator ጋር ማቅረብ አለቦት።
ማስታወሻ፡- ትራንስሴቨር RX ፒን እንደ TX PLL ማመሳከሪያ ሰዓት አይጠቀሙ። የኤችዲኤምአይ TX ማጣቀሻውን በRX ፒን ላይ ካስቀመጡት ንድፍዎ ሊጣጣም አይችልም።
TX Transceiver ሰዓት ውጪ tx_clk ከትራንስሲቨር የተመለሰ የሰዓት መውጫ፣ እና ድግግሞሹ እንደ የውሂብ መጠን እና ምልክቶች በሰዓት ይለያያል።
TX ትራንስሴቨር ሰዓት ውጣ ፍሪኩዌንሲ = አስተላላፊ የውሂብ መጠን/ (ምልክት በሰዓት*10)
TX PLL ተከታታይ ሰዓት tx_bonding_ሰዓት ተከታታይ ፈጣን ሰዓት በTX PLL የተፈጠረ። የሰዓት ድግግሞሹ የሚዘጋጀው በመረጃ ፍጥነት ላይ በመመስረት ነው።
TX/RX አገናኝ ፍጥነት ሰዓት ls_clk የአገናኝ ፍጥነት ሰዓት. የአገናኝ የፍጥነት ሰዓት ድግግሞሹ በሚጠበቀው የ TMDS ሰዓት ድግግሞሽ፣ ከመጠን በላይ ይወሰናልampሊንግ ፋክተር፣ ምልክቶች በሰዓት፣ እና TMDS ቢት ሰዓት ጥምርታ።
TMDS ቢት የሰዓት ሬሾ የአገናኝ የፍጥነት ሰዓት ድግግሞሽ
0 TMDS የሰዓት ድግግሞሽ/ ምልክት በሰዓት
1 TMDS የሰዓት ድግግሞሽ * 4 / ምልክት በሰዓት
TX/RX ቪዲዮ ሰዓት vid_clk የቪዲዮ ውሂብ ሰዓት. የቪድዮ ዳታ ሰዓት ድግግሞሽ በቀለም ጥልቀት ላይ በመመስረት ከ TX ማገናኛ ፍጥነት ሰዓት የተገኘ ነው።
TMDS ቢት የሰዓት ሬሾ የቪዲዮ ውሂብ ሰዓት ድግግሞሽ
0 TMDS ሰዓት/ ምልክት በሰዓት/ የቀለም ጥልቀት ምክንያት
1 TMDS ሰዓት * 4 / ምልክት በሰዓት / የቀለም ጥልቀት ምክንያት
ቢት በቀለም የቀለም ጥልቀት ምክንያት
8 1
10 1.25
12 1.5
16 2.0
RX TMDS ሰዓት tmds_clk_in የTMDS የሰዓት ቻናል ከኤችዲኤምአይ RX እና ከማጣቀሻ ሰዓቱ ጋር ከ IOPLL ጋር ይገናኛል።
RX CDR የማጣቀሻ ሰዓት 0/TX PLL ማመሳከሪያ ሰዓት 0 fr_clk ለ RX CDR እና TX PLL ነፃ የሩጫ ማመሳከሪያ ሰዓት። ይህ ሰዓት ለኃይል መለካት ያስፈልጋል።
RX CDR የማጣቀሻ ሰዓት 1 iopll_outclk0 የማመሳከሪያ ሰዓት ወደ RX ሲዲአር የ RX ትራንስስተር።
የውሂብ መጠን RX የማጣቀሻ ሰዓት ድግግሞሽ
የውሂብ መጠን <1 Gbps 5× TMDS የሰዓት ድግግሞሽ
1 Gbps< የውሂብ መጠን

<3.4 ጊባበሰ

TMDS የሰዓት ድግግሞሽ
የውሂብ መጠን > 3.4 Gbps 4× TMDS የሰዓት ድግግሞሽ
• የውሂብ መጠን <1 Gbps፡ ከመጠን በላይ ለሆኑampአነስተኛውን የውሂብ መጠን መስፈርት የትራንስሴቨርን ለማሟላት።
• የውሂብ መጠን > 3.4 Gbps፡ የቲኤምኤስኤስ ቢት ፍጥነትን እና የሰዓት ሬሾን 1/40 ለማካካስ የትራንስሲቨር ዳታ መጠን ወደ የሰዓት ሬሾ በ1/10 ለማቆየት።
ማስታወሻ፡- ትራንስሴቨር RX ፒን እንደ ሲዲአር ማመሳከሪያ ሰዓት አይጠቀሙ። የኤችዲኤምአይ RX ማጣቀሻውን በRX ፒን ላይ ካስቀመጡት ንድፍዎ ሊጣጣም አይችልም።
RX Transceiver ሰዓት ውጪ rx_clk ከትራንስሲቨር የተመለሰ የሰዓት መውጫ፣ እና ድግግሞሹ እንደ የውሂብ መጠን እና ምልክቶች በሰዓት ይለያያል።

RX ትራንስሴቨር የሰዓት መውጫ ድግግሞሽ = የአስተላላፊ የውሂብ መጠን/ (ምልክት በሰዓት*10)

የአስተዳደር ሰዓት mgmt_clk ለእነዚህ ክፍሎች 100 ሜኸር የሚሄድ ነጻ ሰዓት፡-
• አቫሎን-ኤምኤም በይነገጾች እንደገና ለማዋቀር
— የድግግሞሽ ክልል መስፈርት ከ100–125 ሜኸር ነው።
•፣ የ PHY ዳግም ማስጀመሪያ መቆጣጠሪያ ለትራንስሴቨር ዳግም ማስጀመሪያ ቅደም ተከተል
— የድግግሞሽ ክልል መስፈርት ከ1-500 MHz መካከል ነው።
• IOPLL እንደገና ማዋቀር
- ከፍተኛው የሰዓት ድግግሞሽ 100 ሜኸር ነው።
• የ RX መልሶ ማዋቀር ለአስተዳደር
• ሲፒዩ
• I2C ማስተር
I2C ሰዓት i2c_clk I100C ባሪያን የሚፈጅ የ2 ሜኸር ሰዓት ግብዓት፣ SCDC በ HDMI RX ኮር እና ኢዲአይዲ ራም ውስጥ ይመዘግባል።

ተዛማጅ መረጃ

  • Transceiver RX Pinን እንደ ሲዲአር ማመሳከሪያ ሰዓት መጠቀም
  • Transceiver RX Pinን እንደ TX PLL ማመሳከሪያ ሰዓት መጠቀም

3.7. የበይነገጽ ምልክቶች
ሠንጠረዦቹ የኤችዲኤምአይ ኢንቴል FPGA IP ንድፍ ምልክቶችን ይዘረዝራሉ ለምሳሌampለ.
ሠንጠረዥ 41. ከፍተኛ-ደረጃ ምልክቶች

ሲግናል አቅጣጫ ስፋት

መግለጫ

የቦርድ ኦስሲሊተር ሲግናል
clk_fpga_b3_p ግቤት 1 ለዋና ማጣቀሻ ሰዓት 100 ሜኸር ነፃ የሩጫ ሰዓት
REFCLK_FMCB_P (Intel Quartus Prime Pro እትም) ግቤት 1 625 ሜኸር ነፃ የሩጫ ሰዓት ለትራንሴቨር ማመሳከሪያ ሰዓት; ይህ ሰዓት ከማንኛውም ድግግሞሽ ሊሆን ይችላል
የተጠቃሚ ግፋ አዝራሮች እና LEDs
ተጠቃሚ_ፒቢ ግቤት 1 የኤችዲኤምአይ ኢንቴል FPGA IP ዲዛይን ተግባርን ለመቆጣጠር ተጫን
ሲፒዩ_ዳግም ማስጀመር ግቤት 1 ሁለንተናዊ ዳግም ማስጀመር
ተጠቃሚ_ሊድ_ሰ ውፅዓት 4 አረንጓዴ LED ማሳያ
ስለ LED ተግባራት የበለጠ መረጃ ለማግኘት በገጽ 89 ላይ ያለውን የሃርድዌር ቅንብር ይመልከቱ።
ተጠቃሚ_ሊድ_ር ውፅዓት 4 ቀይ LED ማሳያ
ስለ LED ተግባራት የበለጠ መረጃ ለማግኘት በገጽ 89 ላይ ያለውን የሃርድዌር ቅንብር ይመልከቱ።
የኤችዲኤምአይ ኤፍኤምሲ ሴት ልጅ ካርድ ፒን በFMC ወደብ B ላይ
fmcb_gbtclk_m2c_p_0 ግቤት 1 HDMI RX TMDS ሰዓት
fmcb_dp_m2c_p ግቤት 3 HDMI RX ቀይ፣ አረንጓዴ እና ሰማያዊ የመረጃ ቻናሎች
• ቢቴክ ሴት ልጅ ካርድ ክለሳ 11
- [0]: RX TMDS ቻናል 1 (አረንጓዴ)
- [1]: RX TMDS ቻናል 2 (ቀይ)
- [2]: RX TMDS ቻናል 0 (ሰማያዊ)
• ቢቴክ ሴት ልጅ ካርድ ክለሳ 4 ወይም 6
- [0]: RX TMDS ሰርጥ 1 (አረንጓዴ) - polarity ተገልብጦ
- [1]: RX TMDS ቻናል 0 (ሰማያዊ) - ዋልታ የተገለበጠ
- [2]: RX TMDS ቻናል 2 (ቀይ) - ዋልታ ተገለበጠ
fmcb_dp_c2m_p ውፅዓት 4 HDMI TX ሰዓት፣ ቀይ፣ አረንጓዴ እና ሰማያዊ የመረጃ ቻናሎች
• ቢቴክ ሴት ልጅ ካርድ ክለሳ 11
- [0]፡ TX TMDS ቻናል 2 (ቀይ)
- [1]፡ TX TMDS ቻናል 1 (አረንጓዴ)
- [2]፡ TX TMDS ቻናል 0 (ሰማያዊ)
- [3]: TX TMDS የሰዓት ቻናል
• ቢቴክ ሴት ልጅ ካርድ ክለሳ 4 ወይም 6
- [0]: TX TMDS የሰዓት ቻናል
- [1]፡ TX TMDS ቻናል 0 (ሰማያዊ)
- [2]፡ TX TMDS ቻናል 1 (አረንጓዴ)
- [3]፡ TX TMDS ቻናል 2 (ቀይ)
fmcb_la_rx_p_9 ግቤት 1 ኤችዲኤምአይ RX +5V ሃይል ማወቂያ
fmcb_la_rx_p_8 ውጣ 1 ኤችዲኤምአይ RX ትኩስ ተሰኪ ማወቂያ
fmcb_la_rx_n_8 ውጣ 1 ኤችዲኤምአይ RX I2C ኤስዲኤ ለዲዲሲ እና SCDC
fmcb_la_tx_p_10 ግቤት 1 HDMI RX I2C SCL ለ DDC እና SCDC
fmcb_la_tx_p_12 ግቤት 1 ኤችዲኤምአይ TX ትኩስ ተሰኪ ማወቂያ
fmcb_la_tx_n_12 ውጣ 1 ኤችዲኤምአይ I2C ኤስዲኤ ለዲዲሲ እና SCDC
fmcb_la_rx_p_10 ውጣ 1 HDMI I2C SCL ለ DDC እና SCDC
fmcb_la_tx_p_11 ውጣ 1 ኤችዲኤምአይ I2C SDA ለዳግም መቆጣጠሪያ
fmcb_la_rx_n_9 ውጣ 1 ኤችዲኤምአይ I2C SCL ለራውተር መቆጣጠሪያ

ጠረጴዛ 42. HDMI RX ከፍተኛ-ደረጃ ምልክቶች

ሲግናል አቅጣጫ ስፋት

መግለጫ

የሰዓት እና ምልክቶችን ዳግም ያስጀምሩ
mgmt_clk ግቤት 1 የስርዓት ሰዓት ግቤት (100 ሜኸ)
fr_clk (Intel Quartus Prime Pro እትም) ግቤት 1 ነፃ የሩጫ ሰዓት (625 ሜኸ) ለዋና ትራንስሴቨር ማመሳከሪያ ሰዓት። ይህ ሰዓት በሃይል-አቀባበል ሁኔታ ወቅት ለትራንስሲቨር ልኬት ያስፈልጋል። ይህ ሰዓት ከማንኛውም ድግግሞሽ ሊሆን ይችላል.
ዳግም አስጀምር ግቤት 1 የስርዓት ዳግም ማስጀመሪያ ግቤት

ሲግናል

አቅጣጫ ስፋት

መግለጫ

የሰዓት እና ምልክቶችን ዳግም ያስጀምሩ
reset_xcvr_powerup (Intel Quartus Prime Pro እትም) ግቤት 1 ትራንስሴቨር ዳግም ማስጀመር ግቤት። ይህ ምልክት የተረጋገጠው በማጣቀሻ ሰዓቶች የመቀያየር ሂደት (ከነጻ ሩጫ ሰዓት እስከ TMDS ሰዓት) በሃይል አፕሊኬሽን ሁኔታ ውስጥ ነው።
tmds_clk_in ግቤት 1 HDMI RX TMDS ሰዓት
i2c_clk ግቤት 1 የሰዓት ግቤት ለዲዲሲ እና SCDC በይነገጽ
vid_clk_out ውፅዓት 1 የቪዲዮ ሰዓት ውፅዓት
ኤል_ክልክ_ውጣ ውፅዓት 1 የአገናኝ ፍጥነት የሰዓት ውፅዓት
sys_init ውፅዓት 1 በኃይል ሲነሳ ስርዓቱን እንደገና ለማስጀመር የስርዓት ጅምር
RX Transceiver እና IOPLL ሲግናሎች
rx_ተከታታይ_ውሂብ ግቤት 3 የኤችዲኤምአይ ተከታታይ ውሂብ ወደ RX ቤተኛ PHY
gxb_rx_ዝግጁ ውፅዓት 1 RX ቤተኛ PHY ዝግጁ መሆኑን ያሳያል
gxb_rx_cal_busy_out ውፅዓት 3 RX ቤተኛ PHY ልኬት ወደ ትራንስሲቨር ዳኛ ተጠምዷል
gxb_rx_cal_busy_in ግቤት 3 የካሊብሬሽን ስራ የበዛበት ምልክት ከትራንስሲቨር አርቢተር ወደ RX Native PHY
iopll_ተቆልፏል ውፅዓት 1 IOPLL መቆለፉን ያመልክቱ
gxb_reconfig_write ግቤት 3 የአቫሎን-ኤምኤም በይነገጽ ትራንስሴቨር ዳግም ማዋቀር ከRX ቤተኛ PHY ወደ ትራንስሲቨር ዳኛ
gxb_reconfig_read ግቤት 3
gxb_reconfig_አድራሻ ግቤት 30
gxb_reconfig_writedata ግቤት 96
gxb_reconfig_readata ውፅዓት 96
gxb_reconfig_waitrequest ውፅዓት 3
RX ዳግም ማዋቀር አስተዳደር
rx_reconfig_en ውፅዓት 1 RX ዳግም ማዋቀር ሲግናልን ያስችላል
ለካ ውፅዓት 24 HDMI RX TMDS የሰዓት ድግግሞሽ መለኪያ (በ10 ሚሴ)
ልክ_የሚለካ ውፅዓት 1 የመለኪያ ምልክቱ ልክ መሆኑን ያሳያል
os ውፅዓት 1 ኦቨርስampሊንግ ምክንያት:
• 0፡ ምንም ትርፍ የለም።ampሊንግ
• 1፡5× ኦቨርስampሊንግ
reconfig_mgmt_write ውፅዓት 1 የ RX መልሶ ማዋቀር አስተዳደር አቫሎን ማህደረ ትውስታ-ካርታ ያለው በይነገጽ ወደ አስተላላፊ አርቢተር
reconfig_mgmt_read ውፅዓት 1
reconfig_mgmt_አድራሻ ውፅዓት 12
reconfig_mgmt_writedata ውፅዓት 32
reconfig_mgmt_readata ግቤት 32
reconfig_mgmt_waitrequest ግቤት 1
HDMI RX ኮር ሲግናሎች
TMDS_ቢት_ሰዓት_ሬሾ ውፅዓት 1 SCDC መመዝገቢያ በይነገጾች
ኦዲዮ_ዴ ውፅዓት 1 ኤችዲኤምአይ RX ኮር የድምጽ በይነገጾች
ለበለጠ መረጃ በኤችዲኤምአይ ኢንቴል FPGA IP የተጠቃሚ መመሪያ ውስጥ ያለውን የሲንክ በይነገጽ ክፍልን ይመልከቱ።
ኦዲዮ_ዳታ ውፅዓት 256
ኦዲዮ_መረጃ_ai ውፅዓት 48
ኦዲዮ_ኤን ውፅዓት 20
ኦዲዮ_ሲቲኤስ ውፅዓት 20
ኦዲዮ_ዲበ ውሂብ ውፅዓት 165
የድምጽ_ቅርጸት። ውፅዓት 5
aux_pkt_ዳታ ውፅዓት 72 ኤችዲኤምአይ RX ኮር ረዳት በይነገጾች
ለበለጠ መረጃ በኤችዲኤምአይ ኢንቴል FPGA IP የተጠቃሚ መመሪያ ውስጥ ያለውን የሲንክ በይነገጽ ክፍልን ይመልከቱ።
aux_pkt_adr ውፅዓት 6
aux_pkt_wr ውፅዓት 1
aux_ዳታ ውፅዓት 72
aux_sop ውፅዓት 1
aux_eop ውፅዓት 1
aux_ትክክለኛ ውፅዓት 1
aux_ስህተት ውፅዓት 1
ጂሲፒ ውፅዓት 6 HDMI RX ኮር የጎን ባንድ ምልክቶች
ለበለጠ መረጃ በኤችዲኤምአይ ኢንቴል FPGA IP የተጠቃሚ መመሪያ ውስጥ ያለውን የሲንክ በይነገጽ ክፍልን ይመልከቱ።
መረጃ_አቪ ውፅዓት 112
መረጃ_vsi ውፅዓት 61
colordepth_mgmt_sync ውፅዓት 2
ቪድ_ዳታ ውፅዓት N*48 የኤችዲኤምአይ RX ኮር ቪዲዮ ወደቦች
ማስታወሻ፡ N = ምልክቶች በሰዓት
የሚለውን ተመልከት መስመጥ በይነገጾች ክፍል ውስጥ HDMI Intel FPGA IP የተጠቃሚ መመሪያ ለበለጠ መረጃ።
vid_vsync ውፅዓት N
vid_hsync ውፅዓት N
vid_de ውፅዓት N
ሁነታ ውፅዓት 1 የኤችዲኤምአይ RX ኮር ቁጥጥር እና የሁኔታ ወደቦች
ማስታወሻ፡ N = ምልክቶች በሰዓት
የሚለውን ተመልከት መስመጥ በይነገጾች ክፍል ውስጥ HDMI Intel FPGA IP የተጠቃሚ መመሪያ ለበለጠ መረጃ።
ctrl ውፅዓት N*6
ተቆልፏል ውፅዓት 3
ቪድ_ሎክ ውፅዓት 1
በ_5v_ኃይል ግቤት 1 ኤችዲኤምአይ RX 5V ፈልጎ ማግኘት እና hotplug ማወቂያ ወደ ይመልከቱ መስመጥ በይነገጾች ክፍል ውስጥ HDMI Intel FPGA IP የተጠቃሚ መመሪያ ለበለጠ መረጃ።
hdmi_rx_hpd_n ውጣ 1
hdmi_rx_i2c_sda ውጣ 1 HDMI RX DDC እና SCDC በይነገጽ
hdmi_rx_i2c_scl ውጣ 1
RX ኢዲአይዲ ራም ሲግናሎች
ኤዲድ_ራም_መዳረሻ ግቤት 1 HDMI RX ኤዲዲ ራም መዳረሻ በይነገጽ.
ከEDID RAM መጻፍ ወይም ማንበብ ሲፈልጉ edid_ram_access አስገባ፣ ይህ ካልሆነ ይህ ምልክት ዝቅተኛ መሆን አለበት።
ኢዲድ_ራም_አድራሻ ግቤት 8
edid_ram_ፃፍ ግቤት 1
ኤዲድ_ራም_አንብቧል ግቤት 1
edid_ram_readata ውፅዓት 8
edid_ram_writedata ግቤት 8
edid_ram_waitrequest ውፅዓት 1

ሠንጠረዥ 43. HDMI TX ከፍተኛ ደረጃ ምልክቶች

ሲግናል አቅጣጫ ስፋት መግለጫ
የሰዓት እና ምልክቶችን ዳግም ያስጀምሩ
mgmt_clk ግቤት 1 የስርዓት ሰዓት ግቤት (100 ሜኸ)
fr_clk (Intel Quartus Prime Pro እትም) ግቤት 1 ነፃ የሩጫ ሰዓት (625 ሜኸ) ለዋና ትራንስሴቨር ማመሳከሪያ ሰዓት። ይህ ሰዓት በሃይል-አቀባበል ሁኔታ ወቅት ለትራንስሲቨር ልኬት ያስፈልጋል። ይህ ሰዓት ከማንኛውም ድግግሞሽ ሊሆን ይችላል.
ዳግም አስጀምር ግቤት 1 የስርዓት ዳግም ማስጀመሪያ ግቤት
hdmi_clk_in ግቤት 1 የማጣቀሻ ሰዓት ወደ TX IOPLL እና TX PLL። የሰዓት ድግግሞሽ ከ TMDS ሰዓት ድግግሞሽ ጋር ተመሳሳይ ነው።
vid_clk_out ውፅዓት 1 የቪዲዮ ሰዓት ውፅዓት
ኤል_ክልክ_ውጣ ውፅዓት 1 የአገናኝ ፍጥነት የሰዓት ውፅዓት
sys_init ውፅዓት 1 በኃይል ሲነሳ ስርዓቱን እንደገና ለማስጀመር የስርዓት ጅምር
xcvr ዳግም አስጀምር ግቤት 1 ወደ TX transceiver ዳግም አስጀምር
ዳግም አስጀምር ግቤት 1 ወደ IOPLL እና TX PLL ዳግም አስጀምር
reset_pll_reconfig ውፅዓት 1 ወደ PLL ዳግም ማዋቀር ዳግም ያስጀምሩ
TX Transceiver እና IOPLL ሲግናሎች
tx_ተከታታይ_ውሂብ ውፅዓት 4 የኤችዲኤምአይ ተከታታይ ውሂብ ከTX Native PHY
gxb_tx_ዝግጁ ውፅዓት 1 TX ቤተኛ PHY ዝግጁ መሆኑን ያሳያል
gxb_tx_cal_busy_out ውፅዓት 4 TX ቤተኛ PHY የካሊብሬሽን ስራ በዝቶበታል ወደ ትራንስሲቨር ዳኛ
gxb_tx_cal_busy_in ግቤት 4 የካሊብሬሽን ስራ የበዛበት ምልክት ከትራንስሲቨር አርቢተር ወደ TX Native PHY
TX Transceiver እና IOPLL ሲግናሎች
iopll_ተቆልፏል ውፅዓት 1 IOPLL መቆለፉን ያመልክቱ
txpll_ተቆልፏል ውፅዓት 1 TX PLL መቆለፉን ያመልክቱ
gxb_reconfig_write ግቤት 4 ትራንስሴቨር ዳግም ማዋቀር አቫሎን ሜሞሪ-ካርታ የተደረገ በይነገጽ ከTX Native PHY ወደ ትራንስሲቨር አርቢተር
gxb_reconfig_read ግቤት 4
gxb_reconfig_አድራሻ ግቤት 40
gxb_reconfig_writedata ግቤት 128
gxb_reconfig_readata ውፅዓት 128
gxb_reconfig_waitrequest ውፅዓት 4
TX IOPLL እና TX PLL ዳግም ማዋቀር ሲግናሎች
pll_reconfig_write/ tx_pll_reconfig_write ግቤት 1 TX IOPLL/TX PLL ዳግም ማዋቀር አቫሎን ማህደረ ትውስታ-ካርታ በይነገጾች
pll_reconfig_read/ tx_pll_reconfig_read ግቤት 1
pll_reconfig_address/ tx_pll_reconfig_address ግቤት 10
pll_reconfig_writedata/ tx_pll_reconfig_writedata ግቤት 32
pll_reconfig_readdata/ tx_pll_reconfig_readdata ውፅዓት 32
pll_reconfig_waitrequest/ tx_pll_reconfig_waitrequest ውፅዓት 1
os ግቤት 2 ኦቨርስampሊንግ ምክንያት:
• 0፡ ምንም ትርፍ የለም።ampሊንግ
• 1፡3× ኦቨርስampሊንግ
• 2፡4× ኦቨርስampሊንግ
• 3፡5× ኦቨርስampሊንግ
ለካ ግቤት 24 የቪዲዮ መፍታትን የTMDS ሰዓት ድግግሞሽ ያሳያል።
HDMI TX ኮር ሲግናሎች
ctrl ግቤት 6*N ኤችዲኤምአይ TX ኮር መቆጣጠሪያ በይነገጾች
ማስታወሻ፡ N = ምልክቶች በሰዓት
በ ውስጥ ያለውን የምንጭ በይነገጾች ክፍልን ተመልከት HDMI ለበለጠ መረጃ Intel FPGA IP የተጠቃሚ መመሪያ።
ሁነታ ግቤት 1
TMDS_ቢት_ሰዓት_ሬሾ ግቤት 1 SCየዲሲ መመዝገቢያ መገናኛዎች

ለበለጠ መረጃ በኤችዲኤምአይ ኢንቴል FPGA IP ተጠቃሚ መመሪያ ውስጥ የሚገኘውን የምንጭ በይነገጾች ክፍልን ይመልከቱ።

Scrambler_አንቃ ግቤት 1
ኦዲዮ_ዴ ግቤት 1 ኤችዲኤምአይ TX ኮር የድምጽ በይነገጾች

የሚለውን ተመልከት ምንጭ በይነገጾች ክፍል ውስጥ HDMI Intel FPGA IP የተጠቃሚ መመሪያ ለበለጠ መረጃ።

ኦዲዮ_ድምጸ-ከል አድርግ ግቤት 1
ኦዲዮ_ዳታ ግቤት 256
ቀጠለ…
HDMI TX ኮር ሲግናሎች
ኦዲዮ_መረጃ_ai ግቤት 49
ኦዲዮ_ኤን ግቤት 22
ኦዲዮ_ሲቲኤስ ግቤት 22
ኦዲዮ_ዲበ ውሂብ ግቤት 166
የድምጽ_ቅርጸት። ግቤት 5
i2c_ማስተር_ፃፍ ግቤት 1 TX I2C ማስተር አቫሎን ማህደረ ትውስታ-ካርታ በይነገጽ ወደ I2C ማስተር በTX ኮር ውስጥ።
ማስታወሻ፡- እነዚህ ምልክቶች የሚገኙት መክፈቻውን ሲያበሩ ብቻ ነው። I2Cን ያካትቱ መለኪያ.
i2c_ማስተር_አንብቧል ግቤት 1
i2c_ማስተር_አድራሻ ግቤት 4
i2c_master_writeዳታ ግቤት 32
i2c_master_readata ውፅዓት 32
aux_ዝግጁ ውፅዓት 1 HDMI TX ኮር ረዳት በይነገጾች

ለበለጠ መረጃ በኤችዲኤምአይ ኢንቴል FPGA IP ተጠቃሚ መመሪያ ውስጥ የሚገኘውን የምንጭ በይነገጾች ክፍልን ይመልከቱ።

aux_ዳታ ግቤት 72
aux_sop ግቤት 1
aux_eop ግቤት 1
aux_ትክክለኛ ግቤት 1
ጂሲፒ ግቤት 6 HDMI TX ኮር የጎን ባንድ ምልክቶች
ለበለጠ መረጃ በኤችዲኤምአይ ኢንቴል FPGA IP ተጠቃሚ መመሪያ ውስጥ የሚገኘውን የምንጭ በይነገጾች ክፍልን ይመልከቱ።
መረጃ_አቪ ግቤት 113
መረጃ_vsi ግቤት 62
ቪድ_ዳታ ግቤት N*48 HDMI TX ኮር የቪዲዮ ወደቦች
ማስታወሻ፡ N = ምልክቶች በሰዓት
ለበለጠ መረጃ በኤችዲኤምአይ ኢንቴል FPGA IP ተጠቃሚ መመሪያ ውስጥ የሚገኘውን የምንጭ በይነገጾች ክፍልን ይመልከቱ።
vid_vsync ግቤት N
vid_hsync ግቤት N
vid_de ግቤት N
I2C እና Hot Plug Detect ሲግናሎች
nios_tx_i2c_sda_in (Intel Quartus Prime Pro እትም)
ማስታወሻ፡- ሲያበሩ I2Cን ያካትቱ መለኪያ, ይህ ምልክት በ TX ኮር ውስጥ ተቀምጧል እና በዚህ ደረጃ ላይ አይታይም.
ውፅዓት 1 I2C ማስተር አቫሎን ትውስታ-ካርታ በይነገጾች
nios_tx_i2c_scl_in (Intel Quartus Prime Pro እትም)
ማስታወሻ፡- ሲያበሩ I2Cን ያካትቱ መለኪያ, ይህ ምልክት በ TX ኮር ውስጥ ተቀምጧል እና በዚህ ደረጃ ላይ አይታይም.
ውፅዓት 1
nios_tx_i2c_sda_oe (Intel Quartus Prime Pro እትም)
ማስታወሻ፡- ሲያበሩ I2Cን ያካትቱ መለኪያ, ይህ ምልክት በ TX ኮር ውስጥ ተቀምጧል እና በዚህ ደረጃ ላይ አይታይም.
ግቤት 1
ቀጠለ…
I2C እና Hot Plug Detect ሲግናሎች
nios_tx_i2c_scl_oe (Intel Quartus Prime Pro እትም)
ማስታወሻ፡- ሲያበሩ I2Cን ያካትቱ መለኪያ, ይህ ምልክት በ TX ኮር ውስጥ ተቀምጧል እና በዚህ ደረጃ ላይ አይታይም.
ግቤት 1
nios_ti_i2c_sda_in (Intel Quartus Prime Pro እትም) ውፅዓት 1
nios_ti_i2c_scl_in (Intel Quartus Prime Pro እትም) ውፅዓት 1
nios_ti_i2c_sda_oe (Intel Quartus Prime Pro እትም) ግቤት 1
nios_ti_i2c_scl_oe (Intel Quartus Prime Pro እትም) ግቤት 1
hdmi_tx_i2c_sda ውጣ 1 HDMI TX DDC እና SCDC በይነገጾች
hdmi_tx_i2c_scl ውጣ 1
hdmi_ti_i2c_sda (Intel Quartus Prime Pro እትም) ውጣ 1 I2C በይነገጽ ለ Biec ሴት ልጅ ካርድ ክለሳ 11 TI181 መቆጣጠሪያ
hdmi_tx_ti_i2c_sda (Intel Quartus Prime Standard Edition) ውጣ 1
hdmi_ti_i2c_scl (Intel Quartus Prime Pro እትም) ውጣ 1
hdmi_tx_ti_i2c_scl (Intel Quartus Prime Standard Edition) ውጣ 1
tx_i2c_አቫሎን_መጠባበቅ ጥያቄ ውፅዓት 1 የI2C ማስተር አቫሎን ማህደረ ትውስታ-ካርታ በይነገጾች
tx_i2c_avalon_አድራሻ (Intel Quartus Prime Standard Edition) ግቤት 3
tx_i2c_avalon_writedata (Intel Quartus Prime Standard Edition) ግቤት 8
tx_i2c_avalon_readata (Intel Quartus Prime Standard Edition) ውፅዓት 8
tx_i2c_avalon_chipselect (Intel Quartus Prime Standard Edition) ግቤት 1
tx_i2c_avalon_write (Intel Quartus Prime Standard Edition) ግቤት 1
tx_i2c_irq (Intel Quartus Prime Standard Edition) ውፅዓት 1
tx_ti_i2c_avalon_waitጥያቄ

(Intel Quartus Prime Standard Edition)

ውፅዓት 1
tx_ti_i2c_avalon_አድራሻ (Intel Quartus Prime Standard Edition) ግቤት 3
tx_ti_i2c_avalon_writedata (Intel Quartus Prime Standard Edition) ግቤት 8
tx_ti_i2c_avalon_readata (Intel Quartus Prime Standard Edition) ውፅዓት 8
ቀጠለ…
I2C እና Hot Plug Detect ሲግናሎች
tx_ti_i2c_avalon_chipselect (Intel Quartus Prime Standard Edition) ግቤት 1
tx_ti_i2c_avalon_write (Intel Quartus Prime Standard Edition) ግቤት 1
tx_ti_i2c_irq (Intel Quartus Prime Standard Edition) ውፅዓት 1
hdmi_tx_hpd_n ግቤት 1 HDMI TX hotplug በይነገጾችን ፈልጎ ያግኙ
tx_hpd_ack ግቤት 1
tx_hpd_req ውፅዓት 1

ሠንጠረዥ 44. አስተላላፊ አርቢተር ምልክቶች

ሲግናል አቅጣጫ ስፋት መግለጫ
clk ግቤት 1 ዳግም ማዋቀር ሰዓት. ይህ ሰዓት ከዳግም ውቅረት አስተዳደር ብሎኮች ጋር አንድ አይነት ሰዓት ማጋራት አለበት።
ዳግም አስጀምር ግቤት 1 ምልክትን ዳግም አስጀምር. ይህ ዳግም ማስጀመር ከዳግም ውቅረት አስተዳደር ብሎኮች ጋር ተመሳሳይ ዳግም ማስጀመር ማጋራት አለበት።
rx_rcfg_en ግቤት 1 የ RX ዳግም ማዋቀር ሲግናል
tx_rcfg_en ግቤት 1 TX ዳግም ማዋቀር ሲግናልን አንቃ
rx_rcfg_ch ግቤት 2 በ RX ኮር ላይ የትኛው ቻናል እንደገና እንደሚዋቀር ያሳያል። ይህ ምልክት ሁል ጊዜ የተረጋገጠ መሆን አለበት።
tx_rcfg_ch ግቤት 2 በTX ኮር ላይ የትኛው ቻናል እንደገና እንደሚዋቀር ያሳያል። ይህ ምልክት ሁል ጊዜ የተረጋገጠ መሆን አለበት።
rx_reconfig_mgmt_write ግቤት 1 ዳግም ማዋቀር አቫሎን-ኤምኤም በይነገጾች ከ RX ዳግም ማዋቀር አስተዳደር
rx_reconfig_mgmt_read ግቤት 1
rx_reconfig_mgmt_አድራሻ ግቤት 10
rx_reconfig_mgmt_writedata ግቤት 32
rx_reconfig_mgmt_readata ውፅዓት 32
rx_reconfig_mgmt_waitrequest ውፅዓት 1
tx_reconfig_mgmt_write ግቤት 1 ዳግም ማዋቀር አቫሎን-ኤምኤም በይነገጾችን ከTX ዳግም ማዋቀር አስተዳደር
tx_reconfig_mgmt_read ግቤት 1
tx_reconfig_mgmt_አድራሻ ግቤት 10
tx_reconfig_mgmt_writedata ግቤት 32
tx_reconfig_mgmt_readata ውፅዓት 32
tx_reconfig_mgmt_waitrequest ውፅዓት 1
reconfig_write ውፅዓት 1 እንደገና ማዋቀር አቫሎን-ኤምኤም በይነገጾች ወደ ትራንሰቨር
እንደገና ማዋቀር_ማንበብ ውፅዓት 1
ቀጠለ…
ሲግናል አቅጣጫ ስፋት መግለጫ
አድራሻውን እንደገና ማዋቀር ውፅዓት 10
reconfig_writedata ውፅዓት 32
rx_reconfig_readata ግቤት 32
rx_reconfig_waitጥያቄ ግቤት 1
tx_reconfig_readdata ግቤት 1
tx_reconfig_waitጥያቄ ግቤት 1
rx_cal_busy ግቤት 1 የመለኪያ ሁኔታ ምልክት ከ RX ትራንስስተር
tx_cal_የተጨናነቀ ግቤት 1 የመለኪያ ሁኔታ ምልክት ከTX ትራንስስተር
rx_reconfig_cal_busy ውፅዓት 1 የመለኪያ ሁኔታ ምልክት ወደ RX transceiver PHY ዳግም ማስጀመሪያ መቆጣጠሪያ
tx_reconfig_cal_busy ውፅዓት 1 የመለኪያ ሁኔታ ምልክት ከTX transceiver PHY ዳግም ማስጀመሪያ መቆጣጠሪያ

ሠንጠረዥ 45. RX-TX አገናኝ ምልክቶች

ሲግናል አቅጣጫ ስፋት መግለጫ
ዳግም አስጀምር ግቤት 1 ወደ ቪዲዮ/ድምጽ/ረዳት/የጎን ባንድ FIFO ቋት ዳግም አስጀምር።
hdmi_tx_ls_clk ግቤት 1 የኤችዲኤምአይ TX ማገናኛ ፍጥነት ሰዓት
hdmi_rx_ls_clk ግቤት 1 የኤችዲኤምአይ RX ማገናኛ ፍጥነት ሰዓት
hdmi_tx_vid_clk ግቤት 1 HDMI TX ቪዲዮ ሰዓት
hdmi_rx_vid_clk ግቤት 1 HDMI RX ቪዲዮ ሰዓት
hdmi_rx_ተቆልፏል ግቤት 3 HDMI RX የተቆለፈበትን ሁኔታ ያሳያል
hdmi_rx_de ግቤት N HDMI RX ቪዲዮ በይነገጾች
ማስታወሻ፡ N = ምልክቶች በሰዓት
hdmi_rx_hsync ግቤት N
hdmi_rx_vsync ግቤት N
hdmi_rx_ዳታ ግቤት N * 48
rx_audio_ቅርጸት። ግቤት 5 HDMI RX የድምጽ በይነገጾች
rx_audio_ሜታዳታ ግቤት 165
rx_audio_info_ai ግቤት 48
rx_audio_CTS ግቤት 20
rx_audio_N ግቤት 20
rx_audio_de ግቤት 1
rx_audio_ዳታ ግቤት 256
rx_gcp ግቤት 6 ኤችዲኤምአይ RX የጎን ባንድ በይነገጾች
rx_info_avi ግቤት 112
rx_info_vsi ግቤት 61
ቀጠለ…
ሲግናል አቅጣጫ ስፋት መግለጫ
rx_aux_eop ግቤት 1 HDMI RX ረዳት በይነገጾች
rx_aux_sop ግቤት 1
rx_aux_የሚሰራ ግቤት 1
rx_aux_ዳታ ግቤት 72
hdmi_tx_de ውፅዓት N HDMI TX ቪዲዮ በይነገጾች

ማስታወሻ፡ N = ምልክቶች በሰዓት

hdmi_tx_hsync ውፅዓት N
hdmi_tx_vsync ውፅዓት N
hdmi_tx_ዳታ ውፅዓት N * 48
tx_audio_ቅርጸት። ውፅዓት 5 HDMI TX የድምጽ በይነገጾች
tx_audio_ሜታዳታ ውፅዓት 165
tx_audio_info_ai ውፅዓት 48
tx_audio_CTS ውፅዓት 20
tx_audio_N ውፅዓት 20
tx_audio_de ውፅዓት 1
tx_audio_ዳታ ውፅዓት 256
tx_gcp ውፅዓት 6 ኤችዲኤምአይ TX የጎን ባንድ በይነገጾች
tx_መረጃ_አቪ ውፅዓት 112
tx_መረጃ_vsi ውፅዓት 61
tx_aux_eop ውፅዓት 1 HDMI TX ረዳት በይነገጾች
tx_aux_sop ውፅዓት 1
tx_aux_የሚሰራ ውፅዓት 1
tx_aux_ዳታ ውፅዓት 72
tx_aux_ዝግጁ ውፅዓት 1

ሠንጠረዥ 46. የመሳሪያ ስርዓት ዲዛይነር ስርዓት ምልክቶች

ሲግናል አቅጣጫ ስፋት መግለጫ
cpu_clk (Intel Quartus Prime Standard Edition) ግቤት 1 የሲፒዩ ሰዓት
clock_bridge_0_in_clk_clk (Intel Quartus Prime Pro እትም)
cpu_clk_reset_n (Intel Quartus Prime Standard Edition) ግቤት 1 ሲፒዩ ዳግም ማስጀመር
reset_bridge_0_reset_reset_n (Intel Quartus Prime Pro እትም)
tmds_bit_clock_ratio_pio_external_connectio n_export ግቤት 1 TMDS ቢት ሰዓት ጥምርታ
መለኪያ_pio_ውጫዊ_ግንኙነት_ወደ ውጪ መላክ ግቤት 24 የሚጠበቀው TMDS የሰዓት ድግግሞሽ
ቀጠለ…
ሲግናል አቅጣጫ ስፋት መግለጫ
መለኪያ_ትክክለኛ_ፒዮ_ውጫዊ_ግንኙነት_ኤክስፖርት ቲ ግቤት 1 መለኪያ PIO ልክ መሆኑን ያሳያል
i2c_master_i2c_serial_sda_in (Intel Quartus Prime Pro እትም) ግቤት 1 I2C ማስተር በይነገጾች
i2c_master_i2c_serial_scl_in (Intel Quartus Prime Pro እትም) ግቤት 1
i2c_master_i2c_serial_sda_oe (Intel Quartus Prime Pro እትም) ውፅዓት 1
i2c_master_i2c_serial_scl_oe (Intel Quartus Prime Pro እትም) ውፅዓት 1
i2c_master_ti_i2c_serial_sda_in (Intel Quartus Prime Pro እትም) ግቤት 1
i2c_master_ti_i2c_serial_scl_in (Intel Quartus Prime Pro እትም) ግቤት 1
i2c_master_ti_i2c_serial_sda_oe (Intel Quartus Prime Pro እትም) ውፅዓት 1
i2c_master_ti_i2c_serial_scl_oe (Intel Quartus Prime Pro እትም) ውፅዓት 1
oc_i2c_master_av_slave_ተርጓሚ_አቫሎን_አን ti_slave_0_አድራሻ (Intel Quartus Prime Pro Edition) ውፅዓት 3 I2C ማስተር አቫሎን የማስታወሻ ካርታ በይነገጾች ለዲዲሲ እና ለኤስ.ሲ.ሲ
oc_i2c_master_av_slave_ተርጓሚ_አቫሎን_አን ቲ_ስላቭ_0_ፃፍ (Intel Quartus Prime Pro Edition) ውፅዓት 1
oc_i2c_master_av_slave_ተርጓሚ_አቫሎን_አን ቲ_ስላቭ_0_ረዳታ (Intel Quartus Prime Pro እትም) ግቤት 32
oc_i2c_master_av_slave_ተርጓሚ_አቫሎን_አን ti_slave_0_writedata (Intel Quartus Prime Pro እትም) ውፅዓት 32
oc_i2c_master_av_slave_ተርጓሚ_አቫሎን_አን ቲ_ስላቭ_0_መጠባበቁ (Intel Quartus Prime Pro Edition) ግቤት 1
oc_i2c_master_av_slave_ተርጓሚ_አቫሎን_አን ti_slave_0_ቺፕስመርጥ (Intel Quartus Prime Pro እትም) ውፅዓት 1
oc_i2c_ማስተር_ቲ_አቫሎን_ፀረ_ባሪያ_አድራሻ (Intel Quartus Prime Standard Edition) ውፅዓት 3 ለBiec ሴት ልጅ ካርድ ክለሳ 2፣ T11 መቆጣጠሪያ አይ1181ሲ ማስተር አቫሎን የማስታወሻ ካርታ
oc_i2c_master_ti_avalon_anti_slave_write (Intel Quartus Prime Standard Edition) ውፅዓት 1
oc_i2c_ማስተር_ቲ_አቫሎን_አንቲ_ስላቭ_ሬዳታ (Intel Quartus Prime Standard Edition) ግቤት 32
oc_i2c_master_ti_avalon_anti_slave_writedat a (Intel Quartus Prime Standard Edition) ውፅዓት 32
oc_i2c_master_ti_avalon_anti_slave_waitrequ est (Intel Quartus Prime Standard Edition) ግቤት 1
oc_i2c_master_ti_avalon_anti_slave_chipsele CT (Intel Quartus Prime Standard Edition) ውፅዓት 1
ቀጠለ…
ሲግናል አቅጣጫ ስፋት መግለጫ
edid_ram_access_pio_external_connection_exp ort ውፅዓት 1 EDID ራም መዳረሻ በይነገጾች.
ከ EDID RAM በ RX አናት ላይ ለመፃፍ ወይም ለማንበብ ሲፈልጉ edid_ram_access_pio_ external_connection_ ወደ ውጪ መላክ አስገባ። የኤዲአይዲ ራም መዳረሻ አቫሎን-ኤምኤም ባሪያን በፕላትፎርም ዲዛይነር ከ EDID RAM በይነገጽ ጋር በከፍተኛ ደረጃ RX ሞጁሎች ያገናኙ።
ኢዲድ_ራም_ባሪያ_ተርጓሚ_አድራሻ ውፅዓት 8
edid_ram_slave_ተርጓሚ_መፃፍ ውፅዓት 1
edid_ram_slave_ተርጓሚ_አንብቧል ውፅዓት 1
edid_ram_slave_ተርጓሚ_readata ግቤት 8
edid_ram_slave_ተርጓሚ_የፃፈ ውፅዓት 8
edid_ram_slave_ተርጓሚ_መጠባበቅ ጥያቄ ግቤት 1
powerup_cal_done_export (Intel Quartus Prime Pro እትም) ግቤት 1 RX PMA ዳግም ማዋቀር አቫሎን ትውስታ-ካርታ በይነገጾች
rx_pma_cal_busy_export (Intel Quartus Prime Pro እትም) ግቤት 1
rx_pma_ch_export (Intel Quartus Prime Pro እትም) ውፅዓት 2
rx_pma_rcfg_mgmt_አድራሻ (Intel Quartus Prime Pro እትም) ውፅዓት 12
rx_pma_rcfg_mgmt_write (Intel Quartus Prime Pro እትም) ውፅዓት 1
rx_pma_rcfg_mgmt_read (Intel Quartus Prime Pro እትም) ውፅዓት 1
rx_pma_rcfg_mgmt_readata (Intel Quartus Prime Pro እትም) ግቤት 32
rx_pma_rcfg_mgmt_writedata (Intel Quartus Prime Pro እትም) ውፅዓት 32
rx_pma_rcfg_mgmt_waitrequest (Intel Quartus Prime Pro እትም) ግቤት 1
rx_pma_waitrequest_export (Intel Quartus Prime Pro እትም) ግቤት 1
rx_rcfg_en_export (Intel Quartus Prime Pro እትም) ውፅዓት 1
rx_rst_xcvr_export (Intel Quartus Prime Pro እትም) ውፅዓት 1
tx_pll_rcfg_mgmt_ተርጓሚ_አቫሎን_አንቲ_ስላ ve_መጠባበቅ ጥያቄ ግቤት 1 TX PLL ዳግም ማዋቀር አቫሎን ትውስታ-ካርታ በይነገጾች
tx_pll_rcfg_mgmt_ተርጓሚ_አቫሎን_አንቲ_ስላ ve_writedata ውፅዓት 32
tx_pll_rcfg_mgmt_ተርጓሚ_አቫሎን_አንቲ_ስላ ve_አድራሻ ውፅዓት 10
tx_pll_rcfg_mgmt_ተርጓሚ_አቫሎን_አንቲ_ስላ ve_ጻፍ ውፅዓት 1
tx_pll_rcfg_mgmt_ተርጓሚ_አቫሎን_አንቲ_ስላ ve_ተነበበ ውፅዓት 1
tx_pll_rcfg_mgmt_ተርጓሚ_አቫሎን_አንቲ_ስላ ve_ረዳታ ግቤት 32
ቀጠለ…
ሲግናል አቅጣጫ ስፋት መግለጫ
tx_pll_waitrequest_pio_external_connection_ ወደ ውጪ መላክ ግቤት 1 TX PLL መጠበቂያ ጥያቄ
tx_pma_rcfg_mgmt_ተርጓሚ_አቫሎን_አንቲ_ስላ ve_አድራሻ ውፅዓት 12 TX PMA ዳግም ማዋቀር አቫሎን ትውስታ-ካርታ በይነገጾች
tx_pma_rcfg_mgmt_ተርጓሚ_አቫሎን_አንቲ_ስላ ve_ጻፍ ውፅዓት 1
tx_pma_rcfg_mgmt_ተርጓሚ_አቫሎን_አንቲ_ስላ ve_ተነበበ ውፅዓት 1
tx_pma_rcfg_mgmt_ተርጓሚ_አቫሎን_አንቲ_ስላ ve_ረዳታ ግቤት 32
tx_pma_rcfg_mgmt_ተርጓሚ_አቫሎን_አንቲ_ስላ ve_writedata ውፅዓት 32
tx_pma_rcfg_mgmt_ተርጓሚ_አቫሎን_አንቲ_ስላ ve_መጠባበቅ ጥያቄ ግቤት 1
tx_pma_waitrequest_pio_ውጫዊ_ግንኙነት_ወደ ውጪ መላክ ግቤት 1 TX PMA መጠበቂያ ጥያቄ
tx_pma_cal_busy_pio_external_connection_exp ort ግቤት 1 TX PMA መልሶ ማቋቋም ስራ ተጠምዷል
tx_pma_ch_export ውፅዓት 2 TX PMA ሰርጦች
tx_rcfg_en_pio_ውጫዊ_ግንኙነት_ወደ ውጪ መላክ ውፅዓት 1 TX PMA መልሶ ማዋቀር አንቃ
tx_iopll_rcfg_mgmt_ተርጓሚ_አቫሎን_አንቲ_ስ ላቭ_ፃፍ ዳታ ውፅዓት 32 TX IOPLL ዳግም ማዋቀር አቫሎን ትውስታ-ካርታ በይነገጾች
tx_iopll_rcfg_mgmt_ተርጓሚ_አቫሎን_አንቲ_ስ ላቭ_ረዳታ ግቤት 32
tx_iopll_rcfg_mgmt_ተርጓሚ_አቫሎን_አንቲ_ስ ላቭ_መጠባበቅ ጥያቄ ግቤት 1
tx_iopll_rcfg_mgmt_ተርጓሚ_አቫሎን_ፀረ_ላቭ_አድራሻ ውፅዓት 9
tx_iopll_rcfg_mgmt_ተርጓሚ_አቫሎን_አንቲ_ስ ላቭ_ፃፍ ውፅዓት 1
tx_iopll_rcfg_mgmt_ተርጓሚ_አቫሎን_አንቲ_ስ ላቭ_አንብቧል ውፅዓት 1
tx_os_pio_ውጫዊ_ግንኙነት_ወደ ውጪ መላክ ውፅዓት 2 ኦቨርስampሊንግ ምክንያት:
• 0፡ ምንም ትርፍ የለም።ampሊንግ
• 1፡3× ኦቨርስampሊንግ
• 2፡4× ኦቨርስampሊንግ
• 3፡5× ኦቨርስampሊንግ
tx_rst_pll_pio_ውጫዊ_ግንኙነት_ወደ ውጪ ላክ ውፅዓት 1 ወደ IOPLL እና TX PLL ዳግም አስጀምር
tx_rst_xcvr_pio_ውጫዊ_ግንኙነት_ወደ ውጪ መላክ ውፅዓት 1 ወደ TX ቤተኛ PHY ዳግም አስጀምር
wd_timer_resetrequest_reset ውፅዓት 1 የእይታ ጠባቂ ሰዓት ቆጣሪ ዳግም ማስጀመር
የቀለም_ጥልቀት_ፒዮ_ውጫዊ_ግንኙነት_ወደ ውጪ መላክ ግቤት 2 የቀለም ጥልቀት
tx_hpd_ack_pio_ውጫዊ_ግንኙነት_ወደ ውጪ ላክ ውፅዓት 1 ለTX hotplug የእጅ መጨባበጥን ፈልጎ ያግኙ
tx_hpd_req_pio_የውጭ_ግንኙነት_ወደ ውጪ መላክ ግቤት 1

3.8. ንድፍ RTL መለኪያዎች
ዲዛይኑን ለማበጀት የ HDMI TX እና RX Top RTL መለኪያዎችን ይጠቀሙampለ.
አብዛኛዎቹ የንድፍ መመዘኛዎች በዲዛይን Exampየ HDMI ኢንቴል FPGA IP መለኪያ አርታዒ ትር። አሁንም ንድፍ መቀየር ይችላሉ exampእርስዎን ያቀናብሩ
በ RTL መለኪያዎች በኩል በፓራሜትር አርታኢ ውስጥ የተሰራ.

ሠንጠረዥ 47. HDMI RX ከፍተኛ መለኪያዎች

መለኪያ ዋጋ መግለጫ
SUPPORT_DEEP_COLOR • 0: ምንም ጥልቅ ቀለም የለም
• 1: ጥልቅ ቀለም
ኮር ጥልቅ የቀለም ቅርጸቶችን መደበቅ ይችል እንደሆነ ይወስናል።
SUPPORT_AUXILIARY • 0፡ AUX የለም።
• 1፡ AUX
የረዳት ሰርጥ ኢንኮዲንግ መካተቱን ይወስናል።
SYMBOLS_PER_CLOCK 8 ለ Intel Aria 8 መሳሪያዎች በሰዓት 10 ምልክቶችን ይደግፋል።
SUPPORT_AUDIO • 0፡ ኦዲዮ የለም።
• 1፡ ኦዲዮ
ኮር ኦዲዮን መደበቅ መቻሉን ይወስናል።
EDID_RAM_ADDR_WIDTH (Intel Quartus Prime Standard እትም) 8 (ነባሪ እሴት) የ EDID RAM መጠን 2 መዝገብ።
BITEC_DAUGHTER_CARD_REV • 0፡ የትኛውንም የBiec HDMI ሴት ልጅ ካርድ አለማነጣጠር
• 4፡ Bitec HDMI ሴት ልጅ ካርድ ክለሳ 4ን ይደግፋል
• 6፡ የBiec HDMI ሴት ልጅ ካርድ ክለሳ 6 ላይ ማነጣጠር
•11፡ የBiec HDMI ሴት ልጅ ካርድ ክለሳ 11ን ማነጣጠር (ነባሪ)
ጥቅም ላይ የዋለው የBiec HDMI ሴት ልጅ ካርድ ክለሳ ይገልጻል። ማሻሻያውን ሲቀይሩ ዲዛይኑ የመተላለፊያ ቻናሎቹን ይቀይራል እና በBiec HDMI ሴት ልጅ ካርድ መስፈርቶች መሰረት ፖላሪቲውን ይገለበጥ ይሆናል. የBITEC_DAUGHTER_CARD_REV ልኬትን ወደ 0 ካቀናበሩት ዲዛይኑ በትራንስሲቨር ቻናሎች እና በፖላሪቲው ላይ ምንም አይነት ለውጥ አያመጣም።
POLARITY_INVERSION • 0፡ የተገለበጠ ዋልታ
• 1፡ ዋልታ አትገለብጥ
የእያንዳንዱን የግቤት ውሂብ ዋጋ ለመገልበጥ ይህን ግቤት ወደ 1 ያዋቅሩት። ይህንን ግቤት ወደ 1 ማዋቀር 4'b1111 ወደ RX transceiver rx_polinv ይመድባል።

ጠረጴዛ 48. HDMI TX ከፍተኛ መለኪያዎች

መለኪያ ዋጋ መግለጫ
ኤፍፒኤልኤልን ተጠቀም 1 ለIntel Cyclone® 10 GX መሳሪያዎች fPLLን እንደ TX PLL ብቻ ይደግፋል። ይህንን ግቤት ሁልጊዜ ወደ 1 ያቀናብሩት።
SUPPORT_DEEP_COLOR • 0: ምንም ጥልቅ ቀለም የለም
• 1: ጥልቅ ቀለም
ኮር ጥልቅ የቀለም ቅርጸቶችን መደበቅ ይችል እንደሆነ ይወስናል።
SUPPORT_AUXILIARY • 0፡ AUX የለም።
• 1፡ AUX
የረዳት ሰርጥ ኢንኮዲንግ መካተቱን ይወስናል።
SYMBOLS_PER_CLOCK 8 ለ Intel Aria 8 መሳሪያዎች በሰዓት 10 ምልክቶችን ይደግፋል።
ቀጠለ…
መለኪያ ዋጋ መግለጫ
SUPPORT_AUDIO • 0፡ ኦዲዮ የለም።
• 1፡ ኦዲዮ
ኮር ኦዲዮን መደበቅ መቻሉን ይወስናል።
BITEC_DAUGHTER_CARD_REV • 0፡ የትኛውንም የBiec HDMI ሴት ልጅ ካርድ አለማነጣጠር
• 4፡ Bitec HDMI ሴት ልጅ ካርድ ክለሳ 4ን ይደግፋል
• 6፡ የBiec HDMI ሴት ልጅ ካርድ ክለሳ 6 ላይ ማነጣጠር
• 11፡ የBiec HDMI ሴት ልጅ ካርድ ክለሳ 11ን ማነጣጠር (ነባሪ)
ጥቅም ላይ የዋለው የBiec HDMI ሴት ልጅ ካርድ ክለሳ ይገልጻል። ማሻሻያውን ሲቀይሩ ዲዛይኑ የመተላለፊያ ቻናሎቹን ይቀይራል እና በBiec HDMI ሴት ልጅ ካርድ መስፈርቶች መሰረት ፖላሪቲውን ይገለበጥ ይሆናል. የBITEC_DAUGHTER_CARD_REV ልኬትን ወደ 0 ካቀናበሩት ዲዛይኑ በትራንስሲቨር ቻናሎች እና በፖላሪቲው ላይ ምንም አይነት ለውጥ አያመጣም።
POLARITY_INVERSION • 0፡ የተገለበጠ ዋልታ
• 1፡ ዋልታ አትገለብጥ
የእያንዳንዱን የግቤት ውሂብ ዋጋ ለመገልበጥ ይህንን ግቤት ወደ 1 ያዋቅሩት። ይህንን ግቤት ወደ 1 ማዋቀር 4'b1111 ወደ tx_polinv የTX ትራንስሴቨር ይመድባል።

3.9. የሃርድዌር ማዋቀር
የ HDMI Intel FPGA IP ንድፍ ምሳሌample HDMI 2.0b የሚችል እና ለመደበኛ የኤችዲኤምአይ ቪዲዮ ዥረት የ loopthrough ማሳያን ያከናውናል።
የሃርድዌር ሙከራን ለማስኬድ በኤችዲኤምአይ የነቃ መሳሪያ—እንደ ኤችዲኤምአይ በይነገጽ ያለው የግራፊክስ ካርድ—ከ Transceiver Native PHY RX ብሎክ እና የኤችዲኤምአይ መስመጥ ጋር ያገናኙ።
ግቤት.

  1. የኤችዲኤምአይ መስመጥ ወደብ ወደ መደበኛ የቪዲዮ ዥረት ይከፍታል እና ወደ የሰዓት መልሶ ማግኛ ኮር ይልካል።
  2. የኤችዲኤምአይ RX ኮር የቪዲዮ፣ ረዳት እና የድምጽ መረጃ ከኤችዲኤምአይ TX ኮር ጋር በትይዩ በDCFIFO በኩል እንዲቀለበስ ያደርጋል።
  3. የኤፍኤምሲ ሴት ካርድ የኤችዲኤምአይ ምንጭ ወደብ ምስሉን ወደ ሞኒተር ያስተላልፋል።

ማስታወሻ፡-
ሌላ የIntel FPGA ልማት ቦርድ ለመጠቀም ከፈለጉ የመሳሪያውን ምደባ እና የፒን ምደባ መቀየር አለብዎት። የትራንስሲቨር አናሎግ መቼት ለIntel Arria 10 FPGA ልማት ኪት እና Bitec HDMI 2.0 ሴት ልጅ ካርድ ተፈትኗል። የእራስዎን ሰሌዳ ቅንጅቶችን ማስተካከል ይችላሉ.

ሠንጠረዥ 49. በቦርድ ላይ የግፋ አዝራር እና የተጠቃሚ የ LED ተግባራት

የግፊት ቁልፍ/ LED ተግባር
ሲፒዩ_ዳግም ማስጀመር የስርዓት ዳግም ለማስጀመር አንድ ጊዜ ይጫኑ።
ተጠቃሚ_ፒቢ[0] የኤችፒዲ ሲግናሉን ወደ መደበኛው የኤችዲኤምአይ ምንጭ ለመቀየር አንድ ጊዜ ይጫኑ።
ተጠቃሚ_ፒቢ[1] • ተጭነው ተጭነው የ TX ኮርን ለማዘዝ በDVI የተመሰጠረ ሲግናልን ለመላክ።
• የ HDMI ኮድ ምልክት ለመላክ ይልቀቁ።
ተጠቃሚ_ፒቢ[2] • የTX ኮር መረጃን ከጎንባንድ ሲግናሎች መላክ እንዲያቆም ለማዘዝ ተጭነው ይያዙ።
• InfoFramesን ከጎን ባንድ ምልክቶች መላክን ለመቀጠል ይልቀቁ።
USER_LED[0] RX HDMI PLL መቆለፊያ ሁኔታ።
• 0 = ተከፍቷል።
• 1 = ተቆልፏል
USER_LED[1] የ RX አስተላላፊ ዝግጁ ሁኔታ።
ቀጠለ…
የግፊት ቁልፍ/ LED ተግባር
• 0 = ዝግጁ አይደለም
• 1 = ዝግጁ
USER_LED[2] RX HDMI ዋና መቆለፊያ ሁኔታ።
• 0 = ቢያንስ 1 ቻናል ተከፍቷል።
• 1 = ሁሉም 3 ቻናሎች ተቆልፈዋል
USER_LED[3] RX በላይampሊንግ ሁኔታ.
• 0 = ትርፍ ያልሆኑampመሪ (የመረጃ መጠን > 1,000 ሜጋ ባይት በሰከንድ በIntel Aria 10 መሣሪያ)
• 1 = ኦቨርስampመሪ (የመረጃ ፍጥነት <100Mbps በ Intel Aria 10 መሳሪያ)
USER_LED[4] TX HDMI PLL መቆለፊያ ሁኔታ።
• 0 = ተከፍቷል።
• 1 = ተቆልፏል
USER_LED[5] TX ትራንስሴቨር ዝግጁ ሁኔታ።
• 0 = ዝግጁ አይደለም
• 1 = ዝግጁ
USER_LED[6] TX transceiver PLL ቆልፍ ሁኔታ.
• 0 = ተከፍቷል።
• 1 = ተቆልፏል
USER_LED[7] TX በላይampሊንግ ሁኔታ.
• 0 = ትርፍ ያልሆኑampመሪ (የመረጃ መጠን > 1,000 ሜጋ ባይት በሰከንድ በIntel Aria 10 መሣሪያ)
• 1 = ኦቨርስampመሪ (የመረጃ ፍጥነት <1,000Mbps በ Intel Aria 10 መሳሪያ)

3.10. የማስመሰል Testbench
የማስመሰል ቴስትቤንች የኤችዲኤምአይ TX ተከታታይ loopback ወደ RX ኮር ያስመስለዋል።
ማስታወሻ፡-
ይህ የማስመሰል ሙከራ ቤንች የ I2C አካትት ግቤት ለነቃ ዲዛይኖች አይደገፍም።

3. HDMI 2.0 ንድፍ Example (FRL ድጋፍ = 0)
683156 | 2022.12.27
ምስል 28. HDMI Intel FPGA IP Simulation Testbench Block Diagram

intel HDMI Arria 10 FPGA IP ንድፍ Example - አግድ ንድፍ 11

ሠንጠረዥ 50. Testbench ክፍሎች

አካል መግለጫ
ቪዲዮ TPG የቪዲዮ ሙከራ ጥለት ጀነሬተር (TPG) የቪዲዮ ማነቃቂያውን ያቀርባል።
ኦዲዮ ኤስample Gen ኦዲዮው sample ጄኔሬተር ኦዲዮ s ያቀርባልample stimulus. ጀነሬተሩ በድምጽ ቻናል የሚተላለፍ እየጨመረ የሚሄድ የሙከራ ውሂብ ንድፍ ያመነጫል።
ኦክስ ኤስample Gen አክስ ኤስample Generator ረዳት s ይሰጣልample stimulus. ጀነሬተር ከማስተላለፊያው የሚተላለፍ ቋሚ ውሂብ ያመነጫል።
CRC ቼክ ይህ አረጋጋጭ የ TX transceiver የተገኘው የሰዓት ድግግሞሽ ከተፈለገው የውሂብ መጠን ጋር የሚዛመድ ከሆነ ያረጋግጣል።
የድምጽ ውሂብ ፍተሻ የድምጽ ዳታ ፍተሻው እየጨመረ የመጣው የሙከራ ውሂብ ስርዓተ-ጥለት ተቀብሎ በትክክል መፈታቱን ያነጻጽራል።
Aux Data Check የ aux ዳታ ፍተሻ የሚጠበቀው aux ዳታ መቀበሉን እና በተቀባዩ በኩል በትክክል ዲኮድ መደረጉን ያወዳድራል።

የኤችዲኤምአይ ሲሙሌሽን የሙከራ ቤንች የሚከተሉትን የማረጋገጫ ሙከራዎች ያደርጋል።

HDMI ባህሪ ማረጋገጥ
የቪዲዮ ውሂብ • testbench የግቤት እና የውጤት ቪዲዮ ላይ CRC ፍተሻን ተግባራዊ ያደርጋል።
• በተቀበለው የቪዲዮ ውሂብ ውስጥ በተሰላው CRC ላይ የተላለፈውን ውሂብ CRC ዋጋ ይፈትሻል።
• testbench ከተቀባዩ 4 የተረጋጋ የV-SYNC ምልክቶችን ካገኘ በኋላ ፍተሻውን ያደርጋል።
ረዳት ውሂብ • አክስ ኤስample Generator ከማስተላለፊያው የሚተላለፍ ቋሚ ውሂብ ያመነጫል።
• በተቀባዩ በኩል፣ ጀነሬተሩ የሚጠበቀው ረዳት መረጃ መቀበሉን እና በትክክል ዲኮድ መደረጉን ያወዳድራል።
የድምጽ ውሂብ • ኦዲዮው sample ጄኔሬተር በድምጽ ቻናል በኩል የሚተላለፍ እየጨመረ የሚሄድ የሙከራ ውሂብ ንድፍ ያመነጫል።
• በተቀባዩ በኩል፣ የድምጽ ዳታ አራሚው እየጨመረ ያለው የሙከራ ዳታ ስርዓተ-ጥለት በትክክል መቀበሉን እና መፈታቱን ያረጋግጣል እና ያወዳድራል።

የተሳካ የማስመሰል ስራ በሚከተለው መልእክት ያበቃል።
# ምልክቶች_በአንድ_ሰዓት = 2
# VIC = 4
# FRL_RATE = 0
# ቢፒፒ = 0
# የድምጽ_ድግግሞሽ (kHz) = 48
# ኦዲዮ_ቻናል = 8
# የማስመሰል ማለፊያ

ጠረጴዛ 51. ኤችዲኤምአይ ኢንቴል FPGA IP ንድፍ ዘፀample የሚደገፉ Simulators

አስመሳይ Verilog HDL ቪኤችዲኤል
ሞዴል ሲም - ኢንቴል FPGA እትም / ሞዴል ሲም - ኢንቴል FPGA ማስጀመሪያ እትም አዎ አዎ
ቪሲኤስ/ቪሲኤስ MX አዎ አዎ
ሪቪዬራ-PRO አዎ አዎ
Xcelium ትይዩ አዎ አይ

3.11. ንድፍዎን ማሻሻል
ሠንጠረዥ 52. HDMI ንድፍ Example ከቀዳሚው የ Intel Quartus Prime Pro እትም የሶፍትዌር ስሪት ጋር ተኳሃኝነት

ንድፍ Example Variant ወደ Intel Quartus Prime Pro እትም 20.3 የማሻሻል ችሎታ
HDMI 2.0 ንድፍ Example (FRL ድጋፍ = 0) አይ

ለማንኛውም ተኳሃኝ ያልሆነ ንድፍ የቀድሞampስለዚህ የሚከተሉትን ማድረግ አለብዎት:

  1. አዲስ ንድፍ ይፍጠሩ exampአሁን ባለው የ Intel Quartus Prime Pro እትም የሶፍትዌር ስሪት ውስጥ የእርስዎን ነባር ንድፍ ተመሳሳይ ውቅረቶችን በመጠቀም።
  2. ሙሉውን ንድፍ አወዳድር example ማውጫ ከዲዛይኑ የቀድሞample የቀደመው ኢንቴል ኳርትስ ፕራይም ፕሮ እትም የሶፍትዌር ሥሪትን በመጠቀም የተፈጠረ። በተገኙት ለውጦች ላይ ወደብ።

HDCP በላይ HDMI 2.0/2.1 ንድፍ Example

HDCP በኤችዲኤምአይ ሃርድዌር ንድፍ ለምሳሌample የኤችዲሲፒ ባህሪን ተግባር ለመገምገም ያግዝዎታል እና ባህሪውን በእርስዎ Intel Aria 10 ዲዛይኖች ውስጥ እንዲጠቀሙ ያስችልዎታል።
ማስታወሻ፡-
የኤችዲሲፒ ባህሪው በ Intel Quartus Prime Pro እትም ሶፍትዌር ውስጥ አልተካተተም። የHDCP ባህሪን ለማግኘት ኢንቴልን በ ላይ ያግኙ https://www.intel.com/content/www/us/en/broadcast/products/programmable/applications/connectivity-solutions.html.

4.1. ባለከፍተኛ ባንድዊድዝ ዲጂታል ይዘት ጥበቃ (ኤችዲሲፒ)
ባለከፍተኛ ባንድዊድ ዲጂታል ይዘት ጥበቃ (HDCP) ከምንጩ እና ከማሳያው ጋር ደህንነቱ የተጠበቀ ግንኙነት ለመፍጠር የዲጂታል መብቶች ጥበቃ አይነት ነው።
ኢንቴል በዲጂታል ይዘት ጥበቃ LLC ቡድን ፍቃድ የተሰጠውን ኦሪጅናል ቴክኖሎጂን ፈጠረ። ኤችዲሲፒ የኦዲዮ/ቪዲዮ ዥረቱ በማሰራጫው እና በተቀባዩ መካከል የተመሰጠረበት ከህገ-ወጥ ቅጂ የሚከላከል የቅጅ መከላከያ ዘዴ ነው።
የኤችዲሲፒ ባህሪያት ከHDCP Specification ስሪት 1.4 እና HDCP Specification ስሪት 2.3 ጋር ያከብራሉ።
HDCP 1.4 እና HDCP 2.3 አይፒዎች ምንም ሚስጥራዊ እሴቶች (እንደ የግል ቁልፍ እና የክፍለ ጊዜ ቁልፍ ያሉ) ከተመሰጠረ አይፒ ውጭ ተደራሽ ሳይሆኑ ሁሉንም ስሌት በሃርድዌር ኮር ሎጂክ ያከናውናሉ።

ሠንጠረዥ 53. HDCP IP ተግባራት

HDCP አይፒ ተግባራት
HDCP 1.4 አይፒ • የማረጋገጫ ልውውጥ
- የዋና ቁልፍ ስሌት (ኪሜ)
- የዘፈቀደ አን
- የክፍለ-ጊዜ ቁልፍ (Ks) ፣ M0 እና R0 ስሌት።
• ከተደጋጋሚ ጋር ማረጋገጥ
- የ V እና V' ስሌት እና ማረጋገጫ
• የአገናኝ ታማኝነት ማረጋገጫ
- የፍሬም ቁልፍ (ኪ) ፣ ሚ እና ሪ ስሌት።
ቀጠለ…

ኢንቴል ኮርፖሬሽን. መብቱ በህግ የተጠበቀ ነው. ኢንቴል፣ የኢንቴል አርማ እና ሌሎች የኢንቴል ምልክቶች የኢንቴል ኮርፖሬሽን ወይም የስርጭቱ የንግድ ምልክቶች ናቸው። ኢንቴል የኤፍፒጂኤ እና ሴሚኮንዳክተር ምርቶቹን በIntel መደበኛ ዋስትና መሰረት ለአሁኑ ዝርዝር መግለጫዎች ዋስትና ይሰጣል፣ነገር ግን በማናቸውም ምርቶች እና አገልግሎቶች ላይ ያለማሳወቂያ በማንኛውም ጊዜ ለውጦችን የማድረግ መብቱ የተጠበቀ ነው። ኢንቴል በዚህ ውስጥ የተገለጸውን ማንኛውንም መረጃ፣ ምርት ወይም አገልግሎት ከመተግበሩ ወይም ከመጠቀሙ የተነሳ ምንም አይነት ሃላፊነት ወይም ተጠያቂነት አይወስድም። የኢንቴል ደንበኞች በማናቸውም የታተመ መረጃ ላይ ከመታመንዎ በፊት እና ለምርቶች ወይም አገልግሎቶች ትእዛዝ ከማስቀመጥዎ በፊት የቅርብ ጊዜውን የመሳሪያ ዝርዝሮችን እንዲያገኙ ይመከራሉ።
*ሌሎች ስሞች እና የንግድ ምልክቶች እንደሌሎች ንብረት ሊጠየቁ ይችላሉ።

አይኤስኦ
9001፡2015
ተመዝግቧል

HDCP አይፒ ተግባራት
• hdcpBlockCipher፣ hdcpStreamCipher፣ hdcpRekeyCipher እና hdcpRngCipherን ጨምሮ ሁሉም የሲፈር ሁነታዎች
• ኦሪጅናል ምስጠራ ሁኔታ ምልክት (DVI) እና የተሻሻለ የምስጠራ ሁኔታ ምልክት (HDMI)
• እውነተኛ የዘፈቀደ ቁጥር ጀነሬተር (TRNG)
- በሃርድዌር ላይ የተመሰረተ፣ ሙሉ ዲጂታል ትግበራ እና የማይወሰን የዘፈቀደ ቁጥር ጀነሬተር
HDCP 2.3 አይፒ • ማስተር ቁልፍ (ኪሜ)፣ የክፍለ ጊዜ ቁልፍ (ks) እና ያልሆነ (rn፣ riv) ትውልድ
- ከNIST.SP800-90A የዘፈቀደ ቁጥር ማመንጨት ጋር የሚስማማ
• ማረጋገጫ እና ቁልፍ ልውውጥ
- ለ NIST.SP800-90A የዘፈቀደ ቁጥር ማመንጨት ለ rtx እና rrx የዘፈቀደ ቁጥሮች ማመንጨት
- የDCP የህዝብ ቁልፍ (kpubdcp) በመጠቀም የተቀባይ ሰርተፍኬት (certrx) ፊርማ ማረጋገጫ
- 3072 ቢት RSASSA-PKCS # 1 v1.5
- RSAES-OAEP (PKCS#1 v2.1) የማስተር ቁልፍ (ኪሜ) ምስጠራ እና መፍታት
- የ AES-CTR ሁነታን በመጠቀም የ kd (dkey0, dkey1) ማውጣት
- የኤች እና ኤች ስሌት እና ማረጋገጫ
- የኤክ (ኪሜ) እና ኪሜ (ማጣመር) ስሌት
• ከተደጋጋሚ ጋር ማረጋገጥ
- የ V እና V' ስሌት እና ማረጋገጫ
- የ M እና M' ስሌት እና ማረጋገጫ
• የስርዓት መታደስ (SRM)
- kpubdcp በመጠቀም የኤስአርኤም ፊርማ ማረጋገጫ
- 3072 ቢት RSASSA-PKCS # 1 v1.5
• የክፍለ-ጊዜ ቁልፍ ልውውጥ
• የኤድኪ(ks) እና riv ትውልድ እና ስሌት።
• AES-CTR ሁነታን በመጠቀም dkey2 ማውጣት
• የአካባቢ ፍተሻ
- የ L እና L' ስሌት እና ማረጋገጫ
- የማይታወቅ ትውልድ (rn)
• የውሂብ ዥረት አስተዳደር
- AES-CTR ሁነታ ላይ የተመሠረተ ቁልፍ ዥረት ማመንጨት
• Asymmetric crypto ስልተ ቀመር
- አርኤስኤ በሞጁል ርዝመት 1024 (kpubrx) እና 3072 (kpubdcp) ቢትስ
- RSA-CRT (የቻይንኛ ቀሪ ቲዎረም) በሞጁል ርዝመት 512 (kprivrx) ቢት እና አርቢ ርዝመት 512 (kprivrx) ቢት
• ዝቅተኛ-ደረጃ ምስጠራ ተግባር
- ሲሜትሪክ ክሪፕቶ አልጎሪዝም
• የAES-CTR ሁነታ ከቁልፍ ርዝመት 128 ቢት ጋር
- Hash፣ MGF እና HMAC ስልተ ቀመሮች
• SHA256
• HMAC-SHA256
• MGF1-SHA256
- እውነተኛ የዘፈቀደ ቁጥር ጄኔሬተር (TRNG)
• NIST.SP800-90A ተገዢ
• ሃርድዌርን መሰረት ያደረገ፣ ሙሉ ዲጂታል ትግበራ እና የማይወሰን የዘፈቀደ ቁጥር ጀነሬተር

4.1.1. HDCP በላይ HDMI ንድፍ Example Architecture
የኤችዲሲፒ ባህሪው መረጃው በኤችዲኤምአይ ወይም በሌላ በኤችዲሲፒ-የተጠበቁ ዲጂታል በይነገጾች በተገናኙ መሣሪያዎች መካከል ስለሚተላለፍ ውሂብን ይከላከላል።
በኤችዲሲፒ-የተጠበቁ ስርዓቶች ሶስት አይነት መሳሪያዎችን ያካትታሉ፡

4. HDCP በላይ HDMI 2.0/2.1 ንድፍ Example
683156 | 2022.12.27
• ምንጮች (TX)
• ሲንክስ (አርኤክስ)
• ተደጋጋሚዎች
ይህ ንድፍ example የኤችዲሲፒ ሲስተም መረጃን በሚቀበልበት፣ ዲክሪፕት የሚያደርግ፣ ከዚያም ውሂቡን በድጋሚ የሚያመሰጥር እና በመጨረሻም መረጃን የሚያስተላልፍበት ተደጋጋሚ መሳሪያ ያሳያል። ተደጋጋሚዎች ሁለቱም የኤችዲኤምአይ ግብዓቶች እና ውጤቶች አሏቸው። በኤችዲኤምአይ መስመጥ እና በምንጩ መካከል ቀጥተኛ የኤችዲኤምአይ ቪዲዮ ዥረት ማለፍን ለማከናወን የ FIFO ቋቶችን ያፋጥናል። የ FIFO ቋቶችን በቪዲዮ እና ምስል ማቀናበሪያ (VIP) Suite IP ኮሮች በመተካት ቪዲዮዎችን ወደ ከፍተኛ ጥራት ቅርጸት በመቀየር አንዳንድ የምልክት ሂደቶችን ሊያከናውን ይችላል።

ምስል 29. HDCP ከኤችዲኤምአይ ንድፍ በላይample Block ዲያግራም

intel HDMI Arria 10 FPGA IP ንድፍ Example - አግድ ንድፍ 12

ስለ ንድፍ ንድፍ አርክቴክቸር የሚከተሉት መግለጫዎችample ከኤችዲሲፒ ጋር ይዛመዳል በ HDMI ንድፍ ለምሳሌample block ዲያግራም. መቼ SUPPORT FRL = 1 ወይም
የ HDCP ቁልፍ አስተዳደርን ይደግፉ = 1, ዲዛይኑ ለምሳሌampየደረጃ ተዋረድ በገጽ 29 ላይ ካለው ምስል 95 ትንሽ የተለየ ቢሆንም የኤችዲሲፒ ዋና ተግባራት አሁንም ይቀራሉ
ተመሳሳይ።

  1. HDCP1x እና HDCP2x በኤችዲኤምአይ ኢንቴል FPGA IP ፓራሜትር አርታዒ በኩል የሚገኙ አይፒዎች ናቸው። የኤችዲኤምአይ አይፒን በፓራሜትር አርታኢ ውስጥ ሲያዋቅሩት HDCP1x ወይም HDCP2x ወይም ሁለቱንም አይፒዎች እንደ የስር ስርዓቱ አካል ማንቃት እና ማካተት ይችላሉ። በሁለቱም HDCP አይፒዎች የነቃ የኤችዲኤምአይ IP HDCP2x እና HDCP1x IPs ከኋላ ወደ ኋላ በተገናኙበት በካስኬድ ቶፖሎጂ ውስጥ ራሱን ያዋቅራል።
    • የ HDMI TX HDCP egress በይነገጽ ያልተመሰጠረ የድምጽ ቪዲዮ ዳታ ይልካል።
    • ያልተመሰጠረው መረጃ በንቁ HDCP ብሎክ ተመስጥሮ ወደ ኤችዲኤምአይ ቲኤክስ በኤችዲሲፒ ኢንግሬስ በይነገጽ ተመልሶ በአገናኝ በኩል ይላካል።
    • የሲፒዩ ንኡስ ሲስተም እንደ የማረጋገጫ ዋና ተቆጣጣሪ ከኤችዲሲፒ ቲኤክስ አይፒዎች ውስጥ አንዱ ብቻ በማንኛውም ጊዜ ንቁ ሲሆን ሌላኛው ደግሞ ተገብሮ መሆኑን ያረጋግጣል።
    • በተመሳሳይ፣ HDCP RX ከውጪ HDCP TX በአገናኙ ላይ የተቀበለውን መረጃ ዲክሪፕት ያደርጋል።
  2. የኤችዲሲፒ አይፒዎችን በዲጂታል ይዘት ጥበቃ (DCP) በተሰጡ የምርት ቁልፎች ፕሮግራም ማዘጋጀት አለቦት። የሚከተሉትን ቁልፎች ጫን
    ሠንጠረዥ 54. በDCP የተሰጠ የምርት ቁልፎች
    HDCP TX/RX ቁልፎች
    HDCP2x TX 16 ባይት፡ ግሎባል ኮንስታንት (lc128)
    RX • 16 ባይት (ከTX ጋር ተመሳሳይ)፡ Global Constant (lc128)
    • 320 ባይት፡ RSA የግል ቁልፍ (kprivrx)
    • 522 ባይት፡ RSA የህዝብ ቁልፍ ሰርተፍኬት (certrx)
    HDCP1x TX • 5 ባይት፡ TX ቁልፍ ምርጫ ቬክተር (Aksv)
    • 280 ባይት፡ TX የግል መሳሪያ ቁልፎች (Akeys)
    RX • 5 ባይት፡ RX ቁልፍ ምርጫ ቬክተር (Bksv)
    • 280 ባይት፡ RX የግል መሳሪያ ቁልፎች (ቢኪዎች)

    ንድፍ example ቁልፍ ትውስታዎችን እንደ ቀላል ባለሁለት ወደብ፣ ባለሁለት ሰዓት የተመሳሰለ ራም ይተገብራል። እንደ HDCP2x TX ላለ አነስተኛ ቁልፍ መጠን፣ አይፒው በመደበኛ ሎጂክ መዝገቦችን በመጠቀም የቁልፍ ማህደረ ትውስታን ይተገብራል።
    ማሳሰቢያ፡ ኢንቴል የHDCP ማምረቻ ቁልፎችን ከዲዛይኑ የቀድሞ አያቀርብም።ample ወይም Intel FPGA IPs በማንኛውም ሁኔታ. HDCP አይፒዎችን ወይም ዲዛይኑን ለመጠቀምampለ፣ የኤችዲሲፒ ጉዲፈቻ መሆን እና የማምረቻ ቁልፎቹን ከዲጂታል ይዘት ጥበቃ LLC (DCP) ማግኘት አለቦት።
    ንድፍ ለማስኬድ example, እርስዎ ወይ የቁልፍ ማህደረ ትውስታን ያስተካክላሉ fileበማጠናቀር ጊዜ የምርት ቁልፎችን ለማካተት ወይም አመክንዮ ብሎኮችን በመተግበር የምርት ቁልፎችን ከውጪ ማከማቻ መሳሪያ ደህንነቱ በተጠበቀ ሁኔታ ለማንበብ እና በሂደት ጊዜ በቁልፍ ትውስታዎች ውስጥ ይፃፉ።

  3. በማንኛውም ድግግሞሽ እስከ 2 ሜኸር በHDCP200x IP ውስጥ የተተገበሩ ምስጢራዊ ተግባራትን መዝጋት ይችላሉ። የዚህ ሰዓት ድግግሞሽ ምን ያህል ፈጣን እንደሆነ ይወስናል
    HDCP2x ማረጋገጥ ይሰራል። ለNios II ፕሮሰሰር ጥቅም ላይ የዋለውን 100 ሜኸር ሰአት ለማጋራት መምረጥ ትችላለህ ነገር ግን የማረጋገጫ መዘግየት 200 ሜኸር ሰዓትን ከመጠቀም ጋር ሲነጻጸር በእጥፍ ይጨምራል።
  4. በHDCP TX እና HDCP RX መካከል መለዋወጥ ያለባቸው እሴቶች በHDCP- HDMI DDC በይነገጽ (I2 C ተከታታይ በይነገጽ) ይገናኛሉ-
    የተጠበቀ በይነገጽ. HDCP RX ለሚደግፈው እያንዳንዱ ማገናኛ በI2C አውቶቡስ ላይ ምክንያታዊ መሳሪያ ማቅረብ አለበት። የI2C ባሪያ በ 0x74 የመሳሪያ አድራሻ ለኤችዲሲፒ ወደብ የተባዛ ነው። የሁለቱም HDCP2x እና HDCP1x RX IPs HDCP መመዝገቢያ ወደብ (አቫሎን-ኤምኤም) ያንቀሳቅሳል።
  5. ኤችዲኤምአይ TX ኢዲአይድን ከRX ለማንበብ እና ለኤችዲኤምአይ 2.0 ኦፕሬሽን የሚያስፈልገውን የSCDC ውሂብ ወደ RX ለማስተላለፍ የIC ማስተርን ይጠቀማል። በኒዮስ II ፕሮሰሰር የሚመራው ተመሳሳይ I2C ማስተር እንዲሁም የHDCP መልዕክቶችን በTX እና RX መካከል ለማስተላለፍ ይጠቅማል። የ I2C ማስተር በሲፒዩ ንዑስ ስርዓት ውስጥ ተካትቷል።
  6. የኒዮስ II ፕሮሰሰር በማረጋገጫ ፕሮቶኮል ውስጥ እንደ ዋና ስራ ይሰራል እና የሁለቱም HDCP2x እና HDCP1x TX የቁጥጥር እና የሁኔታ መመዝገቢያዎችን (አቫሎን-ኤምኤም) ያንቀሳቅሳል።
    አይፒዎች የሶፍትዌር ሾፌሮቹ የማረጋገጫ ፕሮቶኮል ግዛት ማሽን የምስክር ወረቀት ፊርማ ማረጋገጫ፣ ዋና ቁልፍ ልውውጥ፣ የአካባቢ ፍተሻ፣ የክፍለ-ጊዜ ቁልፍ ልውውጥ፣ ማጣመር፣ የአቋራጭ ትክክለኛነት ማረጋገጥ (HDCP1x) እና እንደ ቶፖሎጂ መረጃ ስርጭት እና የዥረት አስተዳደር መረጃ ስርጭትን የመሳሰሉ ከተደጋጋሚዎች ጋር ማረጋገጥን ያካትታል። የሶፍትዌር ሾፌሮቹ በማረጋገጫ ፕሮቶኮል የሚፈለጉትን ማንኛውንም የምስጢራዊነት ተግባራትን አይተገበሩም። በምትኩ፣ የኤችዲሲፒ አይፒ ሃርድዌር ሁሉንም ሚስጥራዊ እሴቶችን ማግኘት እንደማይቻል በማረጋገጥ ሁሉንም ምስጢራዊ ተግባራትን ይተገበራል።
    7. የቶፖሎጂ መረጃን ወደላይ ማሰራጨት በሚያስፈልግበት እውነተኛ ተደጋጋሚ ማሳያ የኒዮስ II ፕሮሰሰር የሁለቱም HDCP2x እና HDCP1x RX IPs Repeater Message Port (Avalon-MM) ይነዳል። የኒዮስ II ፕሮሰሰር ከ RX REPEATER ቢት ወደ 0 ያጸዳው የተገናኘው የታችኛው ዥረት HDCP አቅም እንደሌለው ሲያውቅ ወይም ምንም የታችኛው ተፋሰስ በማይገናኝበት ጊዜ ነው። የታችኛው ተፋሰስ ግንኙነት ከሌለ፣ የ RX ስርዓት አሁን ተደጋጋሚ ሳይሆን የመጨረሻ ነጥብ ተቀባይ ነው። በተቃራኒው፣ የኒዮስ II ፕሮሰሰር RX REPEATER ቢት ወደ 1 ያቀናበረው የታችኛው ተፋሰስ HDCP አቅም ያለው መሆኑን ሲያውቅ ነው።

4.2. ኒዮስ II ፕሮሰሰር ሶፍትዌር ፍሰት
የኒዮስ II ሶፍትዌር ፍሰት ገበታ በኤችዲኤምአይ መተግበሪያ ላይ የHDCP ማረጋገጫ መቆጣጠሪያዎችን ያካትታል።
ምስል 30. ኒዮስ II ፕሮሰሰር ሶፍትዌር ፍሰት ገበታ

intel HDMI Arria 10 FPGA IP ንድፍ Example - አግድ ንድፍ 13

  1. የኒዮስ II ሶፍትዌር ኤችዲኤምአይ TX PLL፣ TX transceiver PHY፣ I2C master እና የውጭ ቲ ሬቲመርን ያስጀምራል እና ዳግም ያስጀምራል።
  2. የኒዮስ II ሶፍትዌር የቪዲዮ መፍታት መቀየሩን እና የTX ዳግም ማዋቀር የሚያስፈልግ መሆኑን ለማወቅ ከRX ተመን ማወቂያ ወረዳ ወቅታዊ ፍጥነትን ማወቂያ ትክክለኛ ሲግናል ያደርጋል። ሶፍትዌሩ የ TX hot-plug ክስተት መከሰቱን ለማወቅ የTX hot-plug detection ምልክትንም ይመርጣል።
  3. ትክክለኛ ሲግናል ከRX ተመን ማወቂያ ወረዳ ሲደርሰው የኒዮስ II ሶፍትዌር የኤስሲዲሲ እና የሰዓት ጥልቀት እሴቶችን ከኤችዲኤምአይ RX በማንበብ በተገኘው ፍጥነት መሰረት የሰዓት ፍሪኩዌንሲ ባንድ ሰርስሮ በማውጣት HDMI TX PLL እና transceiver PHY reconfiguration ይጠበቅብናል የሚለውን ለማወቅ። TX እንደገና ማዋቀር የሚያስፈልግ ከሆነ፣ የኒዮስ II ሶፍትዌር የSCDC ዋጋን ወደ ውጫዊ RX እንዲልክ I2C ጌታን ያዛል። ከዚያ የኤችዲኤምአይ TX PLL እና TX transceiverን እንደገና እንዲያዋቅሩ ያዛል
    PHY፣የመሣሪያ ዳግም ማስተካከያ እና ቅደም ተከተልን ዳግም አስጀምር። መጠኑ ካልተቀየረ የTX ዳግም ማዋቀርም ሆነ HDCP ዳግም ማረጋገጥ አያስፈልግም።
  4. የTX hot-plug ክስተት ሲከሰት፣ ኒዮስ II ሶፍትዌር የSCDC ዋጋን ወደ ውጫዊ RX እንዲልክ እና ከዚያ EDIDን ከRX እንዲያነብ I2C ማስተር ያዛል።
    እና ውስጣዊውን ኤዲዲ ራም አዘምን. ሶፍትዌሩ የ EDID መረጃን ወደ ላይኛው ዥረት ያሰራጫል።
  5. የኒዮስ II ሶፍትዌር የኤችዲሲፒ እንቅስቃሴን የሚጀምረው I2C ማስተር 0x50 ከውጪ RX ላይ ማካካሻ እንዲያነብ በማዘዝ የታችኛው ዥረት HDCP አቅም ያለው መሆኑን ወይም አለመሆኑን ለመለየት ነው።
    አለበለዚያ፡-
    • የተመለሰው HDCP2Version ዋጋ 1 ከሆነ፣ የታችኛው ዥረት HDCP2x አቅም አለው።
    • የ0x50 ንባቦች በሙሉ የተመለሰው ዋጋ 0 ከሆነ፣ የታችኛው ዥረት HDCP1x አቅም ያለው ነው።
    • የመላው 0x50 ንባቦች የተመለሰው እሴት 1 ከሆነ፣ የታችኛው ተፋሰስ HDCP አቅም የለውም ወይም የቦዘነ ነው።
    • የታችኛው ተፋሰስ ከዚህ ቀደም HDCP አቅም የሌለው ወይም የቦዘነ ነገር ግን በአሁኑ ጊዜ ኤችዲሲፒ የሚችል ከሆነ፣ ሶፍትዌሩ RX አሁን ተደጋጋሚ መሆኑን ለማመልከት የ REPEATER ቢት ደጋፊ ወደላይ (RX) ወደ 1 ያዘጋጃል።
    • የታችኛው ተፋሰስ ከዚህ ቀደም HDCP የሚችል ከሆነ ነገር ግን በአሁኑ ጊዜ HDCP አቅም የሌለው ወይም የማይሰራ ከሆነ፣ ሶፍትዌሩ RX አሁን የመጨረሻ ነጥብ ተቀባይ መሆኑን ለማመልከት REPEATER ቢት 0 ያዘጋጃል።
  6. ሶፍትዌሩ የRX ሰርተፍኬት ፊርማ ማረጋገጫን፣ ዋና ቁልፍ ልውውጥን፣ የአካባቢ ፍተሻን፣ የክፍለ ጊዜ ቁልፍ ልውውጥን፣ ማጣመርን፣ ማረጋገጥን እንደ ቶፖሎጂ መረጃ ማባዛትን የሚያካትት የHDCP2x ማረጋገጫ ፕሮቶኮልን ይጀምራል።
  7. የተረጋገጠ ሁኔታ ላይ በሚሆንበት ጊዜ የኒዮስ II ሶፍትዌር ለ I2C ማስተር የ RxStatus መዝገብ ከውጭ RX እንዲመርጥ ያዛል፣ እና ሶፍትዌሩ REAUTH_REQ ቢት መዘጋጀቱን ካወቀ እንደገና ማረጋገጥ ይጀምራል እና TX ምስጠራን ያሰናክላል።
  8. የታችኛው ተፋሰስ ተደጋጋሚ ሲሆን እና የ RxStatus መዝገብ READY ቢት ወደ 1 ሲዋቀር ይህ ብዙውን ጊዜ የታችኛው ቶፖሎጂ መቀየሩን ያሳያል። ስለዚህ፣ የኒዮስ II ሶፍትዌር የI2C ማስተር ReceiverID_Listን ከስር ዥረት እንዲያነብ እና ዝርዝሩን እንዲያረጋግጥ ያዛል። ዝርዝሩ የሚሰራ ከሆነ እና ምንም የቶፖሎጂ ስህተት ካልተገኘ ሶፍትዌሩ ወደ የይዘት ዥረት አስተዳደር ሞጁል ይሄዳል። አለበለዚያ፣ እንደገና ማረጋገጥን ይጀምራል እና የTX ምስጠራን ያሰናክላል።
  9. የኒዮስ II ሶፍትዌር ReceiverID_List እና RxInfo እሴቶችን ያዘጋጃል እና ከዚያም ወደ አቫሎን-ኤምኤም ተደጋጋሚ መልእክት ወደብ ወደ ተደጋጋሚ ወደ ላይ ይጽፋል (RX)። ከዚያ RX ዝርዝሩን ወደ ውጫዊ TX (ወደ ላይ) ያሰራጫል።
  10. ማረጋገጫ በዚህ ነጥብ ላይ ተጠናቅቋል። ሶፍትዌሩ TX ምስጠራን ያስችላል።
  11. ሶፍትዌሩ የ HDCP1x የማረጋገጫ ፕሮቶኮልን ያስጀምራል ቁልፍ መለዋወጥ እና ከተደጋጋሚዎች ጋር ማረጋገጥ።
  12. የኒዮስ II ሶፍትዌር ሪኢን እና ሪን ከውጭ RX (ከታች) እና HDCP1x TX በማንበብ እና በማነፃፀር የአገናኝ ታማኝነት ማረጋገጫን ያከናውናል። እሴቶቹ ከሆነ
    አይዛመድም፣ ይህ የማመሳሰል መጥፋትን ያሳያል እና ሶፍትዌሩ እንደገና ማረጋገጥን ይጀምራል እና የTX ምስጠራን ያሰናክላል።
  13. የታችኛው ተፋሰስ ተደጋጋሚ ከሆነ እና የ Bcaps መመዝገቢያ READY ቢት ወደ 1 ከተቀናበረ ይህ ብዙውን ጊዜ የታችኛው ቶፖሎጂ መቀየሩን ያሳያል። ስለዚህ፣ የኒዮስ II ሶፍትዌር የKSV ዝርዝር ዋጋን ከታችኛው ተፋሰስ እንዲያነብ እና ዝርዝሩን እንዲያረጋግጥ የI2C ማስተር ያዛል። ዝርዝሩ የሚሰራ ከሆነ እና ምንም አይነት የቶፖሎጂ ስህተት ካልተገኘ ሶፍትዌሩ የKSV ዝርዝሩን እና Bstatus እሴትን በማዘጋጀት ወደ አቫሎን-ኤምኤም ተደጋጋሚ መልእክት ወደብ ወደ ተደጋጋሚ ወደ ላይ ይጽፋል (RX)። ከዚያ RX ዝርዝሩን ወደ ውጫዊ TX (ወደ ላይ) ያሰራጫል። አለበለዚያ፣ እንደገና ማረጋገጥን ይጀምራል እና የTX ምስጠራን ያሰናክላል።

4.3. የንድፍ መራመጃ
HDCP ን በኤችዲኤምአይ ንድፍ በማዘጋጀት እና በማሄድ ላይ ለምሳሌample አምስት ሰከንድ ያካትታልtagኢ.

  1. ሃርድዌር ያዘጋጁ።
  2. ንድፉን ይፍጠሩ.
  3. HDCP ቁልፍ ማህደረ ትውስታን ያርትዑ fileየእርስዎን HDCP ምርት ቁልፎች ለማካተት።
    ሀ. ግልጽ HDCP ምርት ቁልፎችን በ FPGA ውስጥ ያከማቹ (HDCP ቁልፍ አስተዳደርን ይደግፉ = 0)
    ለ. የተመሰጠሩ HDCP ምርት ቁልፎችን በውጫዊ ፍላሽ ማህደረ ትውስታ ወይም EEPROM (የ HDCP ቁልፍ አስተዳደርን ይደግፉ = 1) ያከማቹ
  4. ንድፉን ያጠናቅቁ.
  5. View ውጤቶቹ ።

4.3.1. ሃርድዌርን ያዋቅሩ
የመጀመሪያው ኤስtagሠ የ ማሳያው ሃርድዌር ማዘጋጀት ነው.
SUPPORT FRL = 0 ሲሆኑ፣ ለሠርቶ ማሳያው ሃርድዌርን ለማዘጋጀት እነዚህን ደረጃዎች ይከተሉ።

  1. የBiec HDMI 2.0 FMC ሴት ልጅ ካርድ (ክለሳ 11) ከአሪያ 10 ጂኤክስ ልማት ኪት ጋር በFMC port B ያገናኙ።
  2. የዩኤስቢ ገመድ በመጠቀም የ Arria 10 GX ማጎልበቻ መሣሪያን ከፒሲዎ ጋር ያገናኙ።
  3. የኤችዲኤምአይ ገመድ ከኤችዲኤምአይ RX አያያዥ በBiec HDMI 2.0 FMC ሴት ልጅ ካርድ ወደ HDCP የነቃ የኤችዲኤምአይ መሳሪያ፣ ለምሳሌ የ HDMI ውፅዓት ካለው ግራፊክ ካርድ ጋር ያገናኙ።
  4. ሌላ የኤችዲኤምአይ ገመድ ከ HDMI TX አያያዥ በBiec HDMI 2.0 FMC ሴት ልጅ ካርድ ከኤችዲሲፒ ከነቃ HDMI መሳሪያ ጋር ያገናኙ፣ ለምሳሌ HDMI ግብዓት ካለው ቴሌቪዥን።

SUPPORT FRL = 1 ሲሆኑ ሃርድዌርን ለማዋቀር እነዚህን ደረጃዎች ይከተሉ ማሳያ፡-

  1. የBiec HDMI 2.1FMC ሴት ልጅ ካርድን (ክለሳ 9) ከኤፍኤምሲ ወደብ B የሚገኘውን ከአሪያ 10 ጂኤክስ ልማት ኪት ጋር ያገናኙ።
  2. የዩኤስቢ ገመድ በመጠቀም የ Arria 10 GX ማጎልበቻ መሣሪያን ከፒሲዎ ጋር ያገናኙ።
  3. የኤችዲኤምአይ 2.1 ምድብ 3 ኬብሎችን ከኤችዲኤምአይ RX አያያዥ በBiec HDMI 2.1 FMC ሴት ልጅ ካርድ ከ HDCP የነቃ HDMI 2.1 ምንጭ ጋር ያገናኙ፣ ለምሳሌ Quantum Data 980 48G Generator።
  4. ሌላ የኤችዲኤምአይ 2.1 ምድብ 3 ገመዶችን ከ HDMI TX ማገናኛ በBiec HDMI 2.1 FMC ሴት ልጅ ካርድ ከ HDCP የነቃ HDMI 2.1 ማጠቢያ ጋር ያገናኙ፣ ለምሳሌ
    የኳንተም መረጃ 980 48ጂ ተንታኝ.

4.3.2. ንድፉን ይፍጠሩ
ሃርድዌርን ካዘጋጁ በኋላ ንድፉን ማመንጨት ያስፈልግዎታል.
ከመጀመርዎ በፊት የHDCP ባህሪን በIntel Quartus Prime Pro Edition ሶፍትዌር ውስጥ መጫንዎን ያረጋግጡ።

  1. Tools ➤ IP ካታሎግ የሚለውን ጠቅ ያድርጉ እና ኢንቴል አሪያ 10ን እንደ ኢላማ መሳሪያ ቤተሰብ ይምረጡ።
    ማስታወሻ፡- የ HDCP ንድፍ ለምሳሌample Intel Aria 10 እና Intel Stratix® 10 መሳሪያዎችን ብቻ ነው የሚደግፈው።
  2. በአይፒ ካታሎግ ውስጥ ኤችዲኤምአይ ኢንቴል FPGA አይፒን ይፈልጉ እና ሁለቴ ጠቅ ያድርጉ። አዲሱ የአይፒ ልዩነት መስኮት ይታያል.
  3. ለእርስዎ ብጁ የአይፒ ልዩነት የከፍተኛ ደረጃ ስም ይግለጹ። የመለኪያ አርታዒው የአይፒ ልዩነት ቅንብሮችን ያስቀምጣል። file የሚል ስያሜ ተሰጥቶታል። .qsys ወይም .አይ.ፒ.
  4. እሺን ጠቅ ያድርጉ። የመለኪያ አርታዒው ይታያል.
  5. በአይፒ ትሩ ላይ ለሁለቱም TX እና RX የሚፈለጉትን መለኪያዎች ያዋቅሩ።
  6. የHDCP ንድፍ ለማመንጨት የድጋፍ HDCP 1.4 ወይም ድጋፍ HDCP 2.3 መለኪያን ያብሩampለ.
  7. የHDCP ፕሮዳክሽን ቁልፉን ኢንክሪፕትድ በሆነ ቅርጸት በውጫዊ ፍላሽ ማህደረ ትውስታ ወይም EEPROM ማከማቸት ከፈለጉ የድጋፍ HDCP ቁልፍ አስተዳደር መለኪያን ያብሩ። ያለበለዚያ የHDCP ማምረቻ ቁልፉን በFPGA ውስጥ ለማከማቸት የድጋፍ HDCP ቁልፍ አስተዳደር መለኪያን ያጥፉ።
  8. በዲዛይን Example tab፣ Arria 10 HDMI RX-TX Retransmit የሚለውን ይምረጡ።
  9. የሃርድዌር ዲዛይን ለማመንጨት ውህድ ይምረጡampለ.
  10. ለማመንጨት File ቅርጸት ያድርጉ, Verilog ወይም VHDL ይምረጡ.
  11. ለዒላማ ልማት ኪት፣ Arria 10 GX FPGA Development Kit የሚለውን ይምረጡ። የልማት ኪት ከመረጡ፣ የታለመው መሣሪያ (በደረጃ 4 ላይ የተመረጠ) በልማት ኪት ላይ ካለው መሣሪያ ጋር እንዲመሳሰል ይለወጣል። ለ Arria 10 GX FPGA ልማት ኪት፣ ነባሪው መሣሪያ 10AX115S2F45I1SG ነው።
  12. ፍጠርን ጠቅ ያድርጉ Exampፕሮጀክቱን ለማምረት ዲዛይን ማድረግ files እና የሶፍትዌር Executable and Linking Format (ELF) ፕሮግራሚንግ file.

4.3.3. HDCP ምርት ቁልፎችን ያካትቱ
4.3.3.1. ግልጽ HDCP ምርት ቁልፎችን በ FPGA ውስጥ ያከማቹ (HDCP ቁልፍን ይደግፉ አስተዳደር = 0)
ንድፉን ካመነጩ በኋላ የHDCP ቁልፍ ማህደረ ትውስታን ያርትዑ fileየምርት ቁልፎችዎን ለማካተት።
የማምረቻ ቁልፎችን ለማካተት እነዚህን ደረጃዎች ይከተሉ።

  1. የሚከተለውን ቁልፍ ማህደረ ትውስታ ያግኙ fileውስጥ s /rtl/hdcp/ ማውጫ፡-
    • hdcp2x_tx_kmem.v
    • hdcp2x_rx_kmem.v
    • hdcp1x_tx_kmem.v
    • hdcp1x_rx_kmem.v
  2. hdcp2x_rx_kmem.v ይክፈቱ file እና በቀድሞው ላይ እንደሚታየው አስቀድሞ የተገለፀውን የፋክስ ቁልፍ R1 ለተቀባዩ የህዝብ ሰርቲፊኬት እና RX የግል ቁልፍ እና ግሎባል ቋሚ ያግኙ።ampያነሰ በታች.
    ምስል 31. የፋሲሚል ቁልፍ R1 ሽቦ አደራደር ለተቀባዩ የህዝብ ምስክር ወረቀት
    intel HDMI Arria 10 FPGA IP ንድፍ Example - የህዝብ የምስክር ወረቀትምስል 32. የፋክስሚል ቁልፍ R1 ሽቦ አደራደር ለ RX የግል ቁልፍ እና ግሎባል ቋሚ
    intel HDMI Arria 10 FPGA IP ንድፍ Example - ግሎባል ኮንስታንት
  3. የማምረቻ ቁልፎቹን ቦታ ያዥ ይፈልጉ እና በእራስዎ የማምረቻ ቁልፎች በየራሳቸው የሽቦ ድርድር በትልቁ ኢንዲያን ቅርጸት ይተኩ።
    ምስል 33. የ HDCP ምርት ቁልፎች ሽቦ ድርድር (ቦታ ያዥ)
    intel HDMI Arria 10 FPGA IP ንድፍ Example - Global Constant 1
  4. ለሁሉም ሌሎች ቁልፍ ማህደረ ትውስታ ደረጃ 3 ን ይድገሙ fileኤስ. የምርት ቁልፎችዎን በሁሉም የቁልፍ ማህደረ ትውስታ ውስጥ ማካተት ሲጨርሱ fileዎች፣ የUSE_FACSIMILE መለኪያ በንድፍ ምሳሌ 0 ላይ መዋቀሩን ያረጋግጡampከፍተኛ ደረጃ file (a10_hdmi2_demo.v)

4.3.3.1.1. HDCP ቁልፍ ካርታ ከዲሲፒ ቁልፍ Files
የሚከተሉት ክፍሎች በዲሲፒ ቁልፍ ውስጥ የተከማቹ የHDCP ምርት ቁልፎችን ካርታ ይገልፃሉ። fileወደ HDCP kmem ሽቦ ድርድር files.
4.3.3.1.2. hdcp1x_tx_kmem.v እና hdcp1x_rx_kmem.v files
ለhdcp1x_tx_kmem.v እና hdcp1x_rx_kmem.v files

  • እነዚህ ሁለት files ተመሳሳይ ቅርጸት እያጋሩ ነው።
  • ትክክለኛውን HDCP1 TX DCP ቁልፍ ለመለየት file ለhdcp1x_tx_kmem.v፣ የመጀመሪያዎቹን 4 ባይት ያረጋግጡ file "0x01, 0x00, 0x00, 0x00" ናቸው.
  • ትክክለኛውን HDCP1 RX DCP ቁልፍ ለመለየት file ለhdcp1x_rx_kmem.v፣ የመጀመሪያዎቹን 4 ባይት ያረጋግጡ file "0x02, 0x00, 0x00, 0x00" ናቸው.
  • በዲሲፒ ቁልፍ ውስጥ ያሉ ቁልፎች files በትንሹ-ኢንዲያን ቅርጸት ናቸው። በkmem ውስጥ ለመጠቀም fileዎች፣ ወደ ትልቅ-ኤንዲያን መቀየር አለብህ።

ምስል 34. የባይት ካርታ ከHDCP1 TX DCP ቁልፍ file ወደ hdcp1x_tx_kmem.v

intel HDMI Arria 10 FPGA IP ንድፍ Example - Global Constant 2

ማስታወሻ፡-
የባይት ቁጥሩ ከዚህ በታች ባለው ቅርጸት ይታያል።

  • የቁልፍ መጠን በባይት * የቁልፍ ቁጥር + ባይት ቁጥር በአሁኑ ረድፍ + ቋሚ ማካካሻ + የረድፍ መጠን በባይት * የረድፍ ቁጥር።
  • 308*n እያንዳንዱ ቁልፍ ስብስብ 308 ባይት እንዳለው ያሳያል።
  • 7*y እያንዳንዱ ረድፍ 7 ባይት እንዳለው ያመለክታል።

ምስል 35. HDCP1 TX DCP ቁልፍ file በቆሻሻ ዋጋዎች መሙላት

intel HDMI Arria 10 FPGA IP ንድፍ Example - ቆሻሻ እሴቶች

ምስል 36. የhdcp1x_tx_kmem.v የሽቦ አደራደር
Example of hdcp1x_tx_kmem.v እና ሽቦው እንዴት ወደ ቀድሞው ካርታ እንደሚያደርገውampየ HDCP1 TX DCP ቁልፍ file በስእል 35 በገጽ 105 ላይ።

intel HDMI Arria 10 FPGA IP ንድፍ Example - Global Constant 3

4.3.3.1.3. hdcp2x_rx_kmem.v file
ለhdcp2x_rx_kmem.v file

  • ትክክለኛውን HDCP2 RX DCP ቁልፍ ለመለየት file ለhdcp2x_rx_kmem.v፣ የመጀመሪያዎቹን 4 ባይት ያረጋግጡ file "0x00, 0x00, 0x00, 0x02" ናቸው.
  • በዲሲፒ ቁልፍ ውስጥ ያሉ ቁልፎች files በትንሹ-ኢንዲያን ቅርጸት ናቸው።

ምስል 37. የባይት ካርታ ከHDCP2 RX DCP ቁልፍ file ወደ hdcp2x_rx_kmem.v
ከታች ያለው ምስል ትክክለኛውን የባይት ካርታ ከHDCP2 RX DCP ቁልፍ ያሳያል file ወደ hdcp2x_rx_kmem.v.

intel HDMI Arria 10 FPGA IP ንድፍ Example - Global Constant 4

ማስታወሻ፡-
የባይት ቁጥሩ ከዚህ በታች ባለው ቅርጸት ይታያል።

  • የቁልፍ መጠን በባይት * የቁልፍ ቁጥር + ባይት ቁጥር በአሁኑ ረድፍ + ቋሚ ማካካሻ + የረድፍ መጠን በባይት * የረድፍ ቁጥር።
  • 862*n እያንዳንዱ ቁልፍ ስብስብ 862 ባይት እንዳለው ያሳያል።
  • 16*y እያንዳንዱ ረድፍ 16 ባይት እንዳለው ያሳያል። ROW 32 10 ባይት ብቻ ያለው በcert_rx_prod ውስጥ የተለየ ነገር አለ።

ምስል 38. HDCP2 RX DCP ቁልፍ file በቆሻሻ ዋጋዎች መሙላት

intel HDMI Arria 10 FPGA IP ንድፍ Example - የህዝብ የምስክር ወረቀት 1

ምስል 39. የhdcp2x_rx_kmem.v የሽቦ አደራደር
ይህ አኃዝ የhdcp2x_rx_kmem.v (cert_rx_prod፣ kprivrx_qinv_prod፣ እና lc128_prod) የካርታውን የቀደመው ካርታ ያሳያል።ampየ HDCP2 RX DCP ቁልፍ file in
ምስል 38 በገጽ 108 ላይ።

intel HDMI Arria 10 FPGA IP ንድፍ Example - የህዝብ የምስክር ወረቀት 2

4.3.3.1.4. hdcp2x_tx_kmem.v file
ለhdcp2x_tx_kmem.v file:

  • ትክክለኛውን HDCP2 TX DCP ቁልፍ ለመለየት file ለhdcp2x_tx_kmem.v፣ የመጀመሪያዎቹን 4 ባይት ያረጋግጡ file "0x00, 0x00, 0x00, 0x01" ናቸው.
  • በዲሲፒ ቁልፍ ውስጥ ያሉ ቁልፎች files በትንሹ-ኢንዲያን ቅርጸት ናቸው።
  • በአማራጭ፣ lc128_prodን ከhdcp2x_rx_kmem.v በቀጥታ ወደ hdcp2x_tx_kmem.v ማመልከት ይችላሉ። ቁልፎቹ ተመሳሳይ እሴቶችን ይጋራሉ.

ምስል 40. የhdcp2x_tx_kmem.v የሽቦ አደራደር
ይህ ቁጥር ትክክለኛውን የባይት ካርታ ከHDCP2 TX DCP ቁልፍ ያሳያል file ወደ hdcp2x_tx_kmem.v.

intel HDMI Arria 10 FPGA IP ንድፍ Example - የህዝብ የምስክር ወረቀት 3

4.3.3.2. የተመሰጠሩ HDCP ምርት ቁልፎችን በውጫዊ ፍላሽ ማህደረ ትውስታ ውስጥ ያከማቹ ወይም EEPROM (የHDCP ቁልፍ አስተዳደርን ይደግፉ = 1)
ምስል 41. ከፍተኛ ደረጃ በላይview የ HDCP ቁልፍ አስተዳደር

intel HDMI Arria 10 FPGA IP ንድፍ Example - የህዝብ የምስክር ወረቀት 4

የድጋፍ HDCP ቁልፍ አስተዳደር መለኪያ ሲበራ፣ ኢንቴል የሚያቀርበውን ቁልፍ የምስጠራ ሶፍትዌር መገልገያ (KEYENC) እና የቁልፍ ፕሮግራመር ዲዛይን በመጠቀም የHDCP ፕሮዳክሽን ቁልፍ ምስጠራን ይቆጣጠራሉ። የHDCP ምርት ቁልፎችን እና 128 ቢት HDCP ጥበቃ ቁልፍ ማቅረብ አለቦት። የ HDCP ጥበቃ ቁልፍ
የኤችዲሲፒ ምርት ቁልፉን ኢንክሪፕት ያደርጋል እና ቁልፉን በውጫዊ ፍላሽ ማህደረ ትውስታ ውስጥ ያከማቻል (ለምሳሌample, EEPROM) በ HDMI ሴት ልጅ ካርድ.
የድጋፍ HDCP ቁልፍ አስተዳደር መለኪያን ያብሩ እና የቁልፍ ዲክሪፕት ባህሪ (KEYDEC) በHDCP IP ኮሮች ውስጥ ይገኛል። ተመሳሳይ የ HDCP ጥበቃ
ቁልፍ በKEYDEC ውስጥ ጥቅም ላይ መዋል አለበት HDCP የማምረቻ ቁልፎችን በሂደት ጊዜ ለማቀነባበር ሞተሮችን ለማምጣት። KEYENC እና KEYDEC Atmel AT24CS32 32-Kbit serial EEPROM፣ Atmel AT24C16A 16-Kbit ተከታታይ EEPROM እና ተኳኋኝ I2C EEPROM መሣሪያዎችን ቢያንስ 16-ኪቢት rom ይደግፋሉ።

ማስታወሻ፡-

  1. ለኤችዲኤምአይ 2.0 FMC ሴት ልጅ ካርድ ክለሳ 11፣ በሴት ልጅ ካርድ ላይ ያለው EEPROM Atmel AT24CS32 መሆኑን ያረጋግጡ። በBiec HDMI 2.0 FMC ሴት ልጅ ካርድ ክለሳ 11 ላይ ሁለት የተለያዩ መጠን ያላቸው EEPROM ጥቅም ላይ ይውላሉ።
  2. ከዚህ ቀደም የHDCP ምርት ቁልፎችን ለማመስጠር KEYENCን ተጠቅመው የድጋፍ HDCP ቁልፍ አስተዳደርን በስሪት 21.2 ወይም ከዚያ በፊት ካበሩት፣ የ KEYENC ሶፍትዌር መገልገያን በመጠቀም የHDCP ማምረቻ ቁልፎችን እንደገና ማመስጠር እና HDCP አይፒዎችን ከስሪት 21.3 እንደገና ማመንጨት ያስፈልግዎታል።
    ወደ ፊት።

4.3.3.2.1. ኢንቴል KEYENC
KEYENC እርስዎ ባቀረቡት 128 ቢት HDCP ጥበቃ ቁልፍ ኢንቴል የHDCP ምርት ቁልፎችን ለማመስጠር የሚጠቀምበት የትእዛዝ መስመር ሶፍትዌር መገልገያ ነው። KEYENC የተመሰጠሩ HDCP ምርት ቁልፎችን በሄክስ ወይም ቢን ወይም ራስጌ ያወጣል። file ቅርጸት. KEYENC ደግሞ mif ያመነጫል። file ያቀረቡትን 128 ቢት HDCP ጥበቃ ቁልፍ የያዘ። KEYDEC
ሚፍ ያስፈልገዋል file.

የስርዓት መስፈርቶች

  1. x86 64-ቢት ማሽን ከዊንዶውስ 10 ስርዓተ ክወና ጋር
  2. Visual C++ እንደገና ሊሰራጭ የሚችል ጥቅል ለ Visual Studio 2019(x64)

ማስታወሻ፡-
ለVS 2019 ማይክሮሶፍት ቪዥዋል ሲ++ መጫን አለብህ። Visual C++ redistributable መጫኑን ከዊንዶውስ ➤ የቁጥጥር ፓነል ➤ ፕሮግራሞች እና ባህሪያት ማረጋገጥ ትችላለህ። ማይክሮሶፍት ቪዥዋል ሲ++ ከተጫነ ቪዥዋል C++ xxxxን ማየት ይችላሉ።
እንደገና ሊሰራጭ የሚችል (x64)። ያለበለዚያ ቪዥዋል C++ን ማውረድ እና መጫን ይችላሉ።
ከማይክሮሶፍት እንደገና ሊሰራጭ ይችላል። webጣቢያ. ተያያዥ መረጃዎችን ለአውርድ ማገናኛ ይመልከቱ።

ሠንጠረዥ 55. KEYENC የትእዛዝ መስመር አማራጮች

የትእዛዝ መስመር አማራጮች ክርክር / መግለጫ
-k <HDCP protection key file>
ጽሑፍ file በሄክሳዴሲማል 128 ቢት HDCP ጥበቃ ቁልፍ ብቻ የያዘ። ምሳሌample: f0f1f2f3f4f5f6f7f8f9fafbfcfdfeff
- hdcp1tx <HDCP 1.4 TX production keys file>
HDCP 1.4 አስተላላፊ የምርት ቁልፎች file ከDCP (.bin file)
- hdcp1rx <HDCP 1.4 RX production keys file>
HDCP 1.4 መቀበያ ማምረቻ ቁልፎች file ከDCP (.bin file)
- hdcp2tx <HDCP 2.3 TX production keys file>
HDCP 2.3 አስተላላፊ የምርት ቁልፎች file ከDCP (.bin file)
- hdcp2rx <HDCP 2.3 RX production keys file>
HDCP 2.3 መቀበያ ማምረቻ ቁልፎች file ከDCP (.bin file)
- hdcp1txkeys ለተመረጠው ግቤት (.ቢን) የቁልፍ ክልል ይግለጹ files
-hdcp1txkeys|hdcp1rxkeys|hdcp2rxkeys nm የት
n = የቁልፍ ጅምር (1 ወይም > 1) m = የቁልፍ መጨረሻ (n ወይም > n) ምሳሌampላይ:
ከእያንዳንዱ HDCP 1 TX፣ HDCP 1000 RX እና HCDP ከ1.4 እስከ 1.4 ቁልፎችን ይምረጡ
2.3 RX ምርት ቁልፎች file.
"-hdcp1txkeys 1-1000 -hdcp1rxkeys 1-1000 -hdcp2rxkeys 1-1000"
- hdcp1rxkeys
- hdcp2rxkeys
ቀጠለ…
የትእዛዝ መስመር አማራጮች ክርክር / መግለጫ
ማስታወሻ፡- 1. ምንም የ HDCP ምርት ቁልፎችን እየተጠቀሙ ካልሆኑ file, የ HDCP ቁልፍ ክልል አይፈልጉም. በትእዛዝ መስመር ውስጥ ያለውን ክርክር እየተጠቀሙ ካልሆኑ ነባሪው የቁልፍ ክልል 0 ነው።
2. ለኤችዲሲፒ ምርት ቁልፎች የተለያዩ የቁልፎች መረጃ ጠቋሚ መምረጥም ይችላሉ። file. ነገር ግን የቁልፎች ብዛት ከተመረጡት አማራጮች ጋር መዛመድ አለበት።
Example: የተለያዩ 100 ቁልፎችን ይምረጡ
ከHDCP 100 TX ምርት ቁልፎች ውስጥ የመጀመሪያዎቹን 1.4 ቁልፎችን ይምረጡ file "-hdcp1txkeys 1-100"
ለ HDCP 300 RX የምርት ቁልፎች ከ 400 እስከ 1.4 ቁልፎችን ይምረጡ file "-hdcp1rxkeys 300-400"
ለ HDCP 600 RX የምርት ቁልፎች ከ 700 እስከ 2.3 ቁልፎችን ይምረጡ file "-hdcp2rxkeys 600-700"
-o ውፅዓት file ቅርጸት . ነባሪው ሄክስ ነው። file.
የተመሰጠረ HDCP ምርት ቁልፎችን በሁለትዮሽ አመንጭ file ቅርጸት፡ -o bin ኢንክሪፕትድ የተደረገ HDCP ምርት ቁልፎችን በሄክስ ያመነጫል። file ቅርጸት: -o hex የተመሰጠሩ HDCP ምርት ቁልፎችን በራስጌ ይፍጠሩ file ቅርጸት: - ኦ
- የቼክ ቁልፎች በግቤት ውስጥ የሚገኙትን ቁልፎች አትም fileኤስ. ዘፀampላይ:
keyenc.exe -hdcp1tx file> -hdcp1rx
<HDCP 1.4 RX production keys file> -hdcp2tx file> -hdcp2rx file> - የቼክ ቁልፎች
ማስታወሻ፡- ከላይ እንደተጠቀሰው በትእዛዝ መስመሩ መጨረሻ ላይ ፓራሜትር -የቼክ ቁልፎችን ይጠቀሙampለ.
- ስሪት የKEYENC ሥሪት ቁጥር ያትሙ

ለማመስጠር HDCP 1.4 እና/ወይም HDCP 2.3 የማምረቻ ቁልፎችን በመምረጥ መምረጥ ትችላለህ። ለ example፣ ለማመስጠር የHDCP 2.3 RX ምርት ቁልፎችን ብቻ ለመጠቀም -hdcp2rx ብቻ ይጠቀሙ።
<HDCP 2.3 RX production keys file> -hdcp2rxkeys በትእዛዝ መስመር መለኪያዎች.
ሠንጠረዥ 56. KEYENC የተለመደ የስህተት መልእክት መመሪያ

የስህተት መልእክት መመሪያ
ስህተት፡ HDCP ጥበቃ ቁልፍ file የጠፋ የጠፋ የትእዛዝ መስመር መለኪያ -k file>
ስህተት፡ ቁልፉ 32 አስራስድስትዮሽ አሃዞች (ለምሳሌ f0f1f2f3f4f5f6f7f8f9fafbfcfdfeff) መሆን አለበት። HDCP ጥበቃ ቁልፍ file በ 32 ሄክሳዴሲማል አሃዞች ውስጥ የHDCP ጥበቃ ቁልፍ ብቻ መያዝ አለበት።
ስህተት፡ እባክዎ የቁልፍ ክልሉን ይግለጹ ለተሰጠው የግቤት HDCP ምርት ቁልፎች ቁልፍ ክልል አልተገለጸም። file.
ስህተት፡ ልክ ያልሆነ የቁልፍ ክልል ለ -hdcp1txkeys ወይም -hdcp1rxkeys ወይም -hdcp2rxkeys የተገለፀው ቁልፍ ክልል ትክክል አይደለም።
ስህተት፡ መፍጠር አይቻልምFileስም> ከkeyenc.exe እየሄደ ያለውን የአቃፊ ፈቃድ ያረጋግጡ።
ስህተት፡-hdcp1txkeys ግቤት ልክ ያልሆነ ነው። ለHDCP 1.4 TX ምርት ቁልፎች የግቤት ቁልፍ ክልል ቅርጸት ልክ ያልሆነ ነው። ትክክለኛው ቅርጸት "-hdcp1txkeys nm" ሲሆን n >= 1፣ m >= n
ስህተት፡-hdcp1rxkeys ግቤት ልክ ያልሆነ ነው። ለHDCP 1.4 RX የምርት ቁልፎች የግቤት ቁልፍ ክልል ቅርጸት ልክ ያልሆነ ነው። ትክክለኛው ቅርጸት "-hdcp1rxkeys nm" ሲሆን n >= 1፣ m >= n
ስህተት፡-hdcp2rxkeys ግቤት ልክ ያልሆነ ነው። ለHDCP 2.3 RX የምርት ቁልፎች የግቤት ቁልፍ ክልል ቅርጸት ልክ ያልሆነ ነው። ትክክለኛው ቅርጸት "-hdcp2rxkeys nm" ሲሆን n >= 1፣ m >= n
ቀጠለ…
የስህተት መልእክት መመሪያ
ስህተት፡ ልክ ያልሆነ file <fileስም> ልክ ያልሆኑ HDCP ምርት ቁልፎች file.
ስህተት፡- file የጠፋ ለ -o አማራጭ ይተይቡ የትእዛዝ መስመር መለኪያ ለ-o ይጎድላል .
ስህተት፡ ልክ ያልሆነ fileስም -fileስም> <fileስም> ልክ ያልሆነ ነው፣ እባክዎ የሚሰራውን ይጠቀሙ fileያለ ልዩ ቁምፊዎች ስም.

ነጠላ ቁልፍ ለነጠላ EEPROM ያመስጥሩ
HDCP 1.4 TX፣ HDCP 1.4 RX፣ HDCP 2.3 TX እና HDCP 2.3 RX ነጠላ ቁልፍን ከውጤት ጋር ለማመስጠር የሚከተለውን የትእዛዝ መስመር ከዊንዶውስ የትእዛዝ መስመር ያሂዱ። file የራስጌ ቅርጸት file ለነጠላ EEPROM፡
keyenc.exe -k file> -hdcp1tx file> -hdcp1rx file> -hdcp2tx file> -hdcp2rx file> -hdcp1txkeys 1-1 -hdcp1rxkeys 1-1 -hdcp2rxkeys 1-1 -oh

N ቁልፎችን ለኤን ኢኢፒሮም ያመስጥሩ
የ HDCP 1 TX፣ HDCP 1.4 RX፣ HDCP 1.4 TX እና HDCP 2.3 RX ከውጤት ጋር N ቁልፎችን (ከቁልፍ 2.3 ጀምሮ) ለማመስጠር የሚከተለውን የትእዛዝ መስመር ከዊንዶውስ የትእዛዝ መስመር ያሂዱ። file የሄክስ ቅርጸት file ለN EEPROMs፡-
keyenc.exe -k file> -hdcp1tx file> -hdcp1rx file> -hdcp2tx file> -hdcp2rx file> -hdcp1txkeys 1 -hdcp1rxkeys 1- -hdcp2rxkeys 1- -o hex N ያለበት>=1 እና ከሁሉም አማራጮች ጋር መመሳሰል አለበት።

ተዛማጅ መረጃ
የማይክሮሶፍት ቪዥዋል ሲ++ ለእይታ ስቱዲዮ 2019
ለማውረድ የማይክሮሶፍት ቪዥዋል C++ x86 እንደገና ሊሰራጭ የሚችል ጥቅል (vc_redist.x86.exe) ያቀርባል። አገናኙ ከተቀየረ፣ ኢንቴል ከማይክሮሶፍት የፍለጋ ሞተር "Visual C++ redistributable" እንድትፈልጉ ይመክራል።

4.3.3.2.2. ቁልፍ ፕሮግራመር
የተመሰጠረውን HDCP ምርት ቁልፎችን በEEPROM ላይ ለማቀድ የሚከተሉትን ደረጃዎች ይከተሉ።

  1. የቁልፍ ፕሮግራመር ንድፍ ይቅዱ fileከሚከተለው ዱካ ወደ የስራ ማውጫዎ፡- /hdcp2x/hw_demo/ቁልፍ_ፕሮግራመር/
  2. የሶፍትዌር ራስጌውን ይቅዱ file (hdcp_key .h) ከ KEYENC የሶፍትዌር መገልገያ (ክፍል ለነጠላ EEPROM ኢንክሪፕት ያድርጉ በገጽ 113) ወደ ሶፍትዌሩ/key_programmer_src/ ዳይሬክቶሪ እና hdcp_key.h ብለው ይሰይሙት።
  3. አሂድ ./runall.tcl. ይህ ስክሪፕት የሚከተሉትን ትዕዛዞች ያስፈጽማል፡-
    • የአይፒ ካታሎግ ይፍጠሩ files
    • የፕላትፎርም ዲዛይነር ሲስተም መፍጠር
    • የIntel Quartus Prime ፕሮጀክት ይፍጠሩ
    • የሶፍትዌር የስራ ቦታ ይፍጠሩ እና ሶፍትዌሩን ይገንቡ
    • ሙሉ ቅንብርን ያከናውኑ
  4. የሶፍትዌር ዕቃውን ያውርዱ File (.sof) ወደ FPGA የተመሰጠረውን HDCP ምርት ቁልፎችን በ EEPROM ላይ ለማቀናጀት።

Stratix 10 ኤችዲኤምአይ RX-TX ድጋሚ አስተላላፊ ንድፍ ያመነጫል ለምሳሌample with Support HDCP 2.3 እና ድጋፍ HDCP 1.4 መለኪያዎች በርተዋል፣ በመቀጠል የHDCP ጥበቃ ቁልፍን ለማካተት የሚከተለውን ደረጃ ይከተሉ።

  • ሚፍውን ይቅዱ file (hdcp_kmem.mif) ከ KEYENC ሶፍትዌር መገልገያ የመነጨ (ክፍል ነጠላ ቁልፍ ለነጠላ EEPROM በገጽ 113) ወደ /quartus/hdcp/ ማውጫ።

4.3.4. ንድፉን ያጠናቅቁ
የእራስዎን ግልጽ የኤችዲሲፒ ምርት ቁልፎችን በFPGA ውስጥ ካካተቱ ወይም ኢንክሪፕት የተደረጉ HDCP ፕሮዳክሽን ቁልፎችን ወደ EEPROM ፕሮግራም ካዘጋጁ በኋላ ንድፉን አሁን ማጠናቀር ይችላሉ።

  1. የIntel Quartus Prime Pro እትም ሶፍትዌር ያስጀምሩ እና ይክፈቱ /quartus/a10_hdmi2_demo.qpf.
  2. ማቀናበርን ጠቅ ያድርጉ ➤ ማጠናቀር ጀምር።

4.3.5. View ውጤቶቹ
በሠርቶ ማሳያው መጨረሻ ላይ እርስዎ ማድረግ ይችላሉ view በHDCPenabled HDMI ውጫዊ ማጠቢያ ላይ ያሉ ውጤቶች.
ለ view የማሳያውን ውጤት የሚከተሉትን ደረጃዎች ይከተሉ

  1. የኢንቴል FPGA ሰሌዳን ያብሩት።
  2. ማውጫውን ወደ ቀይር /ኳርትስ/.
  3. የሶፍትዌር ዕቃውን ለማውረድ በኒዮስ II ትዕዛዝ ሼል ላይ የሚከተለውን ትዕዛዝ ይተይቡ File (.sof) ወደ FPGA. nios2-ውቅር-የሶፍ ውፅዓት_fileሰ/ .ሶፍ
  4. በኤችዲሲፒ የነቃውን የኤችዲኤምአይ ውጫዊ ምንጭ እና መስመጥ (ይህን ካላደረጉት) ያብሩት። የኤችዲኤምአይ ውጫዊ ማጠቢያ የእርስዎን HDMI ውጫዊ ምንጭ ውፅዓት ያሳያል።

4.3.5.1. የግፋ አዝራሮች እና የ LED ተግባራት
ማሳያዎን ለመቆጣጠር የግፊት ቁልፎችን እና የ LED ተግባራትን በቦርዱ ላይ ይጠቀሙ።

ሠንጠረዥ 57. የግፋ አዝራር እና የ LED አመልካቾች (ድጋፍ FRL = 0)

የግፊት ቁልፍ/ LED ተግባራት
ሲፒዩ_ዳግም ማስጀመር የስርዓት ዳግም ለማስጀመር አንድ ጊዜ ይጫኑ።
ተጠቃሚ_ፒቢ[0] የኤችፒዲ ሲግናሉን ወደ መደበኛው የኤችዲኤምአይ ምንጭ ለመቀየር አንድ ጊዜ ይጫኑ።
ተጠቃሚ_ፒቢ[1] • ተጭነው ተጭነው የ TX ኮርን ለማዘዝ በDVI የተመሰጠረ ሲግናልን ለመላክ።
• የ HDMI ኮድ ምልክት ለመላክ ይልቀቁ።
• የሚመጣው ቪዲዮ በ8 bpc RGB የቀለም ቦታ ላይ መሆኑን ያረጋግጡ።
ተጠቃሚ_ፒቢ[2] • የTX ኮር መረጃን ከጎንባንድ ሲግናሎች መላክ እንዲያቆም ለማዘዝ ተጭነው ይያዙ።
• InfoFramesን ከጎን ባንድ ምልክቶች መላክን ለመቀጠል ይልቀቁ።
ተጠቃሚ_ሊድ[0] RX HDMI PLL መቆለፊያ ሁኔታ።
• 0፡ ተከፍቷል።
• 1፡ ተቆልፏል
 ተጠቃሚ_ሊድ[1] RX HDMI ዋና መቆለፊያ ሁኔታ
• 0፡ ቢያንስ 1 ቻናል ተከፍቷል።
• 1፡ ሁሉም 3 ቻናሎች ተቆልፈዋል
ተጠቃሚ_ሊድ[2] RX HDCP1x የአይፒ ዲክሪፕት ሁኔታ።
• 0፡ ንቁ ያልሆነ
• 1፡ ንቁ
 ተጠቃሚ_ሊድ[3] RX HDCP2x የአይፒ ዲክሪፕት ሁኔታ።
• 0፡ ንቁ ያልሆነ
• 1፡ ንቁ
 ተጠቃሚ_ሊድ[4] TX HDMI PLL መቆለፊያ ሁኔታ።
• 0፡ ተከፍቷል።
• 1፡ ተቆልፏል
 ተጠቃሚ_ሊድ[5] TX transceiver PLL ቆልፍ ሁኔታ.
• 0፡ ተከፍቷል።
• 1፡ ተቆልፏል
 ተጠቃሚ_ሊድ[6] TX HDCP1x IP ምስጠራ ሁኔታ።
• 0፡ ንቁ ያልሆነ
• 1፡ ንቁ
 ተጠቃሚ_ሊድ[7] TX HDCP2x IP ምስጠራ ሁኔታ።
• 0፡ ንቁ ያልሆነ
• 1፡ ንቁ

ሠንጠረዥ 58. የግፋ አዝራር እና የ LED አመልካቾች (ድጋፍ FRL = 1)

የግፊት ቁልፍ/ LED ተግባራት
ሲፒዩ_ዳግም ማስጀመር የስርዓት ዳግም ለማስጀመር አንድ ጊዜ ይጫኑ።
ተጠቃሚ_ዲፕስ የመተላለፊያ ሁነታን ለመቀየር በተጠቃሚ የተገለጸ የዲአይፒ ቀይር።
• ጠፍቷል (ነባሪ ቦታ) = ማለፊያ
HDMI RX በ FPGA ላይ ኤዲአይዲውን ከውጭ ማጠቢያ ያገኛል እና ከተገናኘው ውጫዊ ምንጭ ጋር ያቀርባል.
በርቷል = የRX ከፍተኛውን የFRL መጠን ከኒዮስ II ተርሚናል መቆጣጠር ይችላሉ። ትዕዛዙ ከፍተኛውን የFRL ተመን እሴት በመቆጣጠር RX EDIDን ይቀይራል።
ተመልከት ንድፉን በተለያዩ የFRL ተመኖች ማስኬድ በገጽ 33 ላይ የተለያዩ የFRL ዋጋዎችን ስለማዘጋጀት ለበለጠ መረጃ።
ቀጠለ…
የግፊት ቁልፍ/ LED ተግባራት
ተጠቃሚ_ፒቢ[0] የኤችፒዲ ሲግናሉን ወደ መደበኛው የኤችዲኤምአይ ምንጭ ለመቀየር አንድ ጊዜ ይጫኑ።
ተጠቃሚ_ፒቢ[1] የተያዘ
ተጠቃሚ_ፒቢ[2] የSCDC መዝገቦችን ከBiec HDMI 2.1FMC ሴት ልጅ ካርድ TX ጋር ከተገናኘው ማጠቢያ ገንዳ ለማንበብ አንድ ጊዜ ይጫኑ።
ማስታወሻ፡- ማንበብን ለማንቃት DEBUG_MODEን በሶፍትዌሩ ውስጥ 1 ማቀናበር አለብህ።
ተጠቃሚ_ሊድ_ግ[0] RX FRL ሰዓት PLL መቆለፊያ ሁኔታ።
• 0፡ ተከፍቷል።
• 1፡ ተቆልፏል
ተጠቃሚ_ሊድ_ግ[1] RX HDMI ቪዲዮ መቆለፊያ ሁኔታ።
• 0፡ ተከፍቷል።
• 1፡ ተቆልፏል
ተጠቃሚ_ሊድ_ግ[2] RX HDCP1x የአይፒ ዲክሪፕት ሁኔታ።
• 0፡ ንቁ ያልሆነ
• 1፡ ንቁ
ተጠቃሚ_ሊድ_ግ[3] RX HDCP2x የአይፒ ዲክሪፕት ሁኔታ።
• 0፡ ንቁ ያልሆነ
• 1፡ ንቁ
ተጠቃሚ_ሊድ_ግ[4] TX FRL ሰዓት PLL መቆለፊያ ሁኔታ።
• 0፡ ተከፍቷል።
• 1፡ ተቆልፏል
ተጠቃሚ_ሊድ_ግ[5] TX HDMI ቪዲዮ መቆለፊያ ሁኔታ።
• 0 = ተከፍቷል።
• 1 = ተቆልፏል
ተጠቃሚ_ሊድ_ግ[6] TX HDCP1x IP ምስጠራ ሁኔታ።
• 0፡ ንቁ ያልሆነ
• 1፡ ንቁ
ተጠቃሚ_ሊድ_ግ[7] TX HDCP2x IP ምስጠራ ሁኔታ።
• 0፡ ንቁ ያልሆነ
• 1፡ ንቁ

4.4. በ FPGA ንድፍ ውስጥ የተካተተ የኢንክሪፕሽን ቁልፍ ጥበቃ
ብዙ የFPGA ዲዛይኖች ምስጠራን ይተገብራሉ፣ እና ብዙ ጊዜ ሚስጥራዊ ቁልፎችን በFPGA ቢትስትራክተር ውስጥ መክተት ያስፈልጋል። እንደ ኢንቴል ስትራቲክስ 10 እና ኢንቴል አጊሊክስ ባሉ አዳዲስ የመሣሪያ ቤተሰቦች ውስጥ እነዚህን ሚስጥራዊ ቁልፎች ደህንነቱ በተጠበቀ መልኩ ማቅረብ እና ማስተዳደር የሚችል ደህንነቱ የተጠበቀ የመሣሪያ አስተዳዳሪ ብሎክ አለ። እነዚህ ባህሪያት ከሌሉበት፣ የFPGA ቢት ዥረት ይዘቱን፣ ማንኛውንም የተካተቱ ሚስጥራዊ የተጠቃሚ ቁልፎችን ጨምሮ፣ ከምስጠራ ጋር ደህንነትን መጠበቅ ይችላሉ።
የተጠቃሚ ቁልፎቹ በንድፍ አካባቢዎ ውስጥ ደህንነታቸው ተጠብቆ መቀመጥ አለበት፣ እና በጥሩ ሁኔታ በራስ-ሰር ደህንነቱ የተጠበቀ ሂደትን በመጠቀም ወደ ንድፉ ይጨምሩ። የሚከተሉት እርምጃዎች እንዲህ ያለውን ሂደት በ Intel Quartus Prime መሳሪያዎች እንዴት መተግበር እንደሚችሉ ያሳያሉ.

  1. ደህንነቱ ባልተጠበቀ አካባቢ በ Intel Quartus Prime ውስጥ HDLን ይገንቡ እና ያሻሽሉ።
  2. የምስጢር ቁልፉን ለማዘመን ንድፉን ወደ ደህንነቱ የተጠበቀ አካባቢ ያስተላልፉ እና አውቶማቲክ ሂደትን ይተግብሩ። በቺፕ ላይ ያለው ማህደረ ትውስታ ቁልፍ እሴቱን አካቷል። ቁልፉ ሲዘምን የማህደረ ትውስታ ጅምር file (.mif) ሊለወጥ ይችላል እና የ"quartus_cdb -update_mif" ሰብሳቢ ፍሰት የHDCP ጥበቃ ቁልፉን እንደገና ሳያጠናቅቅ ሊለውጠው ይችላል። ይህ እርምጃ በጣም ፈጣን ነው እና የመጀመሪያውን ጊዜ ይጠብቃል።
  3. የኢንቴል ኳርተስ ፕሪም ቢትስትሪክት ኢንክሪፕት የተደረገውን ቢት ዥረት ለመጨረሻ ጊዜ ለፈተና እና ለማሰማራት ደህንነቱ ወደሌለው አካባቢ ከመመለሱ በፊት በFPGA ቁልፍ ያመስጥር።

የምስጢር ቁልፉን ከ FPGA መልሶ ማግኘት የሚችሉትን ሁሉንም የማረም መዳረሻ ማሰናከል ይመከራል። ጄን በማሰናከል የማረም ችሎታዎችን ሙሉ በሙሉ ማሰናከል ይችላሉ።TAG ወደብ፣ ወይም በመምረጥ አሰናክል እና እንደገናview እንደ ውስጠ-ስርዓት ማህደረ ትውስታ አርታዒ ወይም ሲግናል መታ ማድረግ ያሉ ምንም የማረም ባህሪያት ቁልፉን መልሰው ማግኘት እንደማይችሉ። ወደ AN 556 ተመልከት፡ የ FPGA ደህንነት ባህሪያትን ስለመጠቀም ለበለጠ መረጃ በIntel FPGAs ውስጥ ያለውን የንድፍ ደህንነት ባህሪያትን በመጠቀም የFPGA ቢት ዥረትን ኢንክሪፕት ማድረግ እና እንደ ጄን ማሰናከል ያሉ የደህንነት አማራጮችን ማዋቀር ላይ የተወሰኑ እርምጃዎችን ጨምሮ።TAG መዳረሻ.

ማስታወሻ፡-
በMIF ማከማቻ ውስጥ ካለው ሌላ የምስጢር ቁልፍ ቁልፍ ጋር ተጨማሪውን የመደበቅ ወይም የመመስጠር ደረጃን ግምት ውስጥ ማስገባት ይችላሉ።
ተዛማጅ መረጃ
AN 556፡ በIntel FPGAs ውስጥ ያለውን የንድፍ ደህንነት ባህሪያትን መጠቀም

4.5. የደህንነት ግምት
የኤችዲሲፒ ባህሪን ሲጠቀሙ ለሚከተሉት የደህንነት ጉዳዮች ትኩረት ይስጡ።

  • ተደጋጋሚ ስርዓት ሲነድፉ የተቀበለውን ቪዲዮ በሚከተሉት ሁኔታዎች ወደ TX IP እንዳይገባ ማገድ አለብዎት።
    - የተቀበለው ቪዲዮ HDCP-የተመሰጠረ ከሆነ (ማለትም የኢንክሪፕሽን ሁኔታ hdcp1_enabled ወይም hdcp2_enabled ከ RX IP የተረጋገጠ ነው) እና የተላለፈው ቪዲዮ HDCP-የተመሰጠረ ካልሆነ (ማለትም የምስጠራ ሁኔታ hdcp1_enabled ወይም hdcp2_enabled ከTX IP አልተገለጸም)።
    - የተቀበለው ቪዲዮ HDCP TYPE 1 ከሆነ (ማለትም streamid_type ከ RX IP የተረጋገጠ ነው) እና የተላለፈው ቪዲዮ HDCP 1.4 ኢንክሪፕት የተደረገ ከሆነ (ማለትም የምስጠራ ሁኔታ hdcp1_enabled ከTX IP የተረጋገጠ ነው)
  • የእርስዎን HDCP ምርት ቁልፎች እና የማንኛቸውም የተጠቃሚ ምስጠራ ቁልፎችን ምስጢራዊነት እና ታማኝነት መጠበቅ አለብዎት።
  • ኢንቴል ማንኛውንም የኢንቴል ኳርትስ ፕራይም ፕሮጄክቶችን እና የንድፍ ምንጭ እንዲያዘጋጁ በጥብቅ ይመክራል። fileቁልፎቹን ለመጠበቅ ደህንነቱ በተጠበቀ የኮምፒዩተር አካባቢ ውስጥ የኢንክሪፕሽን ቁልፎችን የያዙ።
  • ኢንቴል ንድፉን ማንኛውንም የተከተቱ የምስጠራ ቁልፎችን ጨምሮ ያልተፈቀደ መቅዳት፣ ተቃራኒ ምህንድስና እና t ለመጠበቅ በFPGA ውስጥ ያሉትን የንድፍ ደህንነት ባህሪያትን እንድትጠቀሙ በጥብቅ ይመክራል።ampኢሪንግ።

ተዛማጅ መረጃ
AN 556፡ በIntel FPGAs ውስጥ ያለውን የንድፍ ደህንነት ባህሪያትን መጠቀም

4.6. የማረም መመሪያዎች
ይህ ክፍል ለማረም የሚያገለግል ጠቃሚ የHDCP ሁኔታ ምልክት እና የሶፍትዌር መለኪያዎችን ይገልጻል። በተጨማሪም ዲዛይኑን ስለማስኬድ በተደጋጋሚ የሚጠየቁ ጥያቄዎችን (FAQ) ይዟልampለ.

4.6.1. HDCP ሁኔታ ምልክቶች
የኤችዲሲፒ አይፒ ኮርሶችን የሥራ ሁኔታ ለመለየት ጠቃሚ የሆኑ በርካታ ምልክቶች አሉ. እነዚህ ምልክቶች በንድፍ ውስጥ ይገኛሉ exampከፍተኛ-ደረጃ እና ከቦርዱ LEDs ጋር የተሳሰሩ ናቸው፡

የምልክት ስም ተግባር
hdcp1_የነቃ_rx RX HDCP1x IP ዲክሪፕት ሁኔታ 0፡ የቦዘነ
1: ንቁ
hdcp2_የነቃ_rx RX HDCP2x IP ዲክሪፕት ሁኔታ 0፡ የቦዘነ
1: ንቁ
hdcp1_የነቃ_tx TX HDCP1x IP ምስጠራ ሁኔታ 0፡ የቦዘነ
1: ንቁ
hdcp2_የነቃ_tx TX HDCP2x IP ምስጠራ ሁኔታ 0፡ የቦዘነ
1: ንቁ

በገጽ 57 ላይ ያለውን ሠንጠረዥ 115 እና ሠንጠረዥ 58 በገጽ 115 ላይ በየራሳቸው የኤልኢዲ ምደባ ይመልከቱ።
የእነዚህ ምልክቶች ገባሪ ሁኔታ HDCP IP የተረጋገጠ እና የተመሰጠረ የቪዲዮ ዥረት መቀበል/መላክ መሆኑን ያሳያል። ለእያንዳንዱ አቅጣጫ፣ HDCP1x ወይም HDCP2x ብቻ
የምስጠራ/የመግለጽ ሁኔታ ምልክቶች ንቁ ናቸው። ለ example፣ hdcp1_enabled_rx ወይም hdcp2_enabled_rx ገባሪ ከሆነ፣ በ RX በኩል ያለው HDCP ነቅቷል እና የተመሰጠረውን የቪዲዮ ዥረት ከውጪ የቪዲዮ ምንጭ መፍታት።

4.6.2. HDCP ሶፍትዌር መለኪያዎችን በማስተካከል ላይ
የኤችዲሲፒ ማረም ሂደትን ለማመቻቸት በhdcp.c ውስጥ ያሉትን መለኪያዎች ማስተካከል ይችላሉ።
ከታች ያለው ሰንጠረዥ ሊዋቀሩ የሚችሉ መለኪያዎችን እና ተግባራቸውን ያጠቃልላል.

መለኪያ ተግባር
SUPPORT_HDCP1X HDCP 1.4 በTX በኩል አንቃ
SUPPORT_HDCP2X HDCP 2.3 በTX በኩል አንቃ
DEBUG_MODE_HDCP ለTX HDCP የማረም መልዕክቶችን አንቃ
REPEATER_MODE ለኤችዲሲፒ ንድፍ ምሳሌ ተደጋጋሚ ሁነታን አንቃample

ግቤቶችን ለመቀየር እሴቶቹን በ hdcp.c ውስጥ ወደሚፈለጉት እሴቶች ይለውጡ። ቅንብሩን ከመጀመርዎ በፊት በBuild_sw_hdcp.sh ላይ የሚከተለውን ለውጥ ያድርጉ፡

  1. የተሻሻለውን ሶፍትዌር ለመከላከል የሚከተለውን መስመር ይፈልጉ እና አስተያየት ይስጡት። file በዋናው መተካት files ከ Intel Quartus Prime ሶፍትዌር መጫኛ መንገድ.
    intel HDMI Arria 10 FPGA IP ንድፍ Example - ከፍተኛ ክፍሎች 3
  2.  የዘመነውን ሶፍትዌር ለማጠናቀር “./build_sw_hdcp.sh”ን ያሂዱ።
  3. የተፈጠረው .elf file በዲዛይኑ ውስጥ በሁለት መንገዶች ሊካተት ይችላል-
    ሀ. “nios2-download -gን አሂድ file ስም>>" ትክክለኛውን ተግባር ለማረጋገጥ የማውረድ ሂደቱ ከተጠናቀቀ በኋላ ስርዓቱን እንደገና ያስጀምሩ.
    ለ. የማህደረ ትውስታ ጅምርን ለማዘመን “quartus_cdb –-update_mif”ን ያሂዱ fileኤስ. አዲስ .sof ለማመንጨት ሰብሳቢውን ያሂዱ file የተሻሻለውን ሶፍትዌር ያካትታል.

4.6.3. ተዘውትረው የሚጠየቁ ጥያቄዎች (FAQ)
ሠንጠረዥ 59. የሽንፈት ምልክቶች እና መመሪያዎች

ቁጥር የሽንፈት ምልክት መመሪያ
1. RX የተመሰጠረ ቪዲዮ እየተቀበለ ነው፣ ግን TX በሰማያዊ ወይም በጥቁር ቀለም የማይንቀሳቀስ ቪዲዮ እየላከ ነው። ይህ ያልተሳካው የTX ማረጋገጫ ከውጪ ማጠቢያ ጋር ነው. የኤችዲሲፒ አቅም ያለው ደጋሚ ቪዲዮውን ባልተመሰጠረ ቅርጸት ማስተላለፍ የለበትም ወደላይ የሚመጣው ቪዲዮ ከተመሰጠረ። ይህንን ለማግኘት፣ የRX HDCP ምስጠራ ሁኔታ ሲግናሉ የRX HDCP ምስጠራ ሁኔታ ሲግናል በሚሰራበት ጊዜ የማይንቀሳቀስ ቪዲዮ በሰማያዊ ወይም በጥቁር ቀለም የሚለቀውን ቪዲዮ ይተካል።
ለትክክለኛዎቹ መመሪያዎች, ይመልከቱ የደህንነት ግምት በገጽ 117. ነገር ግን ይህ ባህሪ የኤችዲሲፒ ዲዛይን ሲነቃ የማረም ሂደቱን ሊገታ ይችላል። ከዚህ በታች በዲዛይኑ ውስጥ የቪዲዮ እገዳን ለማሰናከል ዘዴው ነውampላይ:
1. የሚከተለውን የወደብ ግንኙነት በንድፍ የላይኛው ደረጃ ላይ ያግኙampለ. ይህ ወደብ የhdmi_tx_top ሞጁል ነው።
2. የወደብ ግንኙነቱን ወደሚከተለው መስመር ያሻሽሉ፡
2. TX HDCP ምስጠራ ሁኔታ ሲግናል ንቁ ነው ነገር ግን የበረዶው ምስል በታችኛው ተፋሰስ ላይ ይታያል። ይህ የሆነበት ምክንያት የታችኛው ተፋሰስ መስመጥ የሚወጣውን ኢንክሪፕት የተደረገ ቪዲዮ በትክክል ስለማይፈታ ነው።
የአለምአቀፍ ቋሚ (LC128) ለTX HDCP IP ማቅረብዎን ያረጋግጡ። እሴቱ የምርት ዋጋ እና ትክክለኛ መሆን አለበት.
3. TX HDCP ምስጠራ ሁኔታ ምልክት ያልተረጋጋ ወይም ሁልጊዜ እንቅስቃሴ-አልባ ነው። ይህ ያልተሳካው የTX ማረጋገጫ ከታችኛው ተፋሰስ ማጠቢያ ጋር ነው። የማረም ሂደቱን ለማመቻቸት፣ ን ማንቃት ይችላሉ። DEBUG_MODE_HDCP መለኪያ በ hdcp.c. ተመልከት HDCP ሶፍትዌር መለኪያዎችን በማስተካከል ላይ በገጽ 118 በመመሪያው ላይ። የሚከተሉት 3a-3c ያልተሳካ የTX ማረጋገጫ ሊሆኑ የሚችሉ ምክንያቶች ሊሆኑ ይችላሉ።
3 ሀ. የሶፍትዌር ማረም ምዝግብ ማስታወሻ ይህንን መልእክት ማተም ይቀጥላል "HDCP 1.4 በታችኛው ተፋሰስ (Rx) አይደገፍም"። መልእክቱ የሚያመለክተው የታችኛው ተፋሰስ መስመጥ ሁለቱንም HDCP 2.3 እና HDCP 1.4 እንደማይደግፍ ነው።
የታችኛው ተፋሰስ ማጠቢያ HDCP 2.3 ወይም HDCP 1.4 ን እንደሚደግፍ ያረጋግጡ።
3 ለ. TX ማረጋገጥ በግማሽ መንገድ አልተሳካም። ይህ የሆነበት ምክንያት የትኛውም የTX ማረጋገጫ አካል እንደ ፊርማ ማረጋገጥ፣ የአካባቢ ማረጋገጥ ወዘተ ሊሳካ ይችላል። የታችኛው ተፋሰስ ማጠቢያው የማምረቻ ቁልፍን እየተጠቀመ መሆኑን ያረጋግጡ ነገር ግን የፋክስሲል ቁልፍ አይደለም.
3ሐ. የሶፍትዌር ማረም ምዝግብ ማስታወሻ ማተምን ይቀጥላል "እንደገና ማረጋገጥ ይህ መልእክት የሚያመለክተው የታችኛው ተፋሰስ ገንዳ እንደገና ማረጋገጫ መጠየቁን ነው ምክንያቱም የተቀበለው ቪዲዮ በትክክል አልተፈታም። የአለምአቀፍ ቋሚ (LC128) ለTX HDCP IP ማቅረብዎን ያረጋግጡ። እሴቱ የምርት ዋጋ መሆን አለበት እና እሴቱ ትክክል ነው።
ቀጠለ…
ቁጥር የሽንፈት ምልክት መመሪያ
ያስፈልጋል” የኤችዲሲፒ ማረጋገጫው ከተጠናቀቀ በኋላ።
4. ምንም እንኳን የላይኛው ምንጭ HDCP ን ቢያነቃውም RX HDCP ዲክሪፕት ሁኔታ ሲግናል ንቁ አይደለም። ይህ የሚያሳየው የ RX HDCP IP የተረጋገጠውን ሁኔታ እንዳላሳካ ነው. በነባሪ ፣ የ REPEATER_MODE መለኪያ በንድፍ ውስጥ ነቅቷል exampለ. ከሆነ REPEATER_MODE ነቅቷል፣ TX HDCP IP የተረጋገጠ መሆኑን ያረጋግጡ።

መቼ REPEATER_MODE መለኪያው ነቅቷል፣ RX HDCP IP TX ከኤችዲሲፒ አቅም ካለው ማጠቢያ ጋር ከተገናኘ እንደ ደጋጋሚ ለማረጋገጥ ይሞክራል። ማረጋገጫው TX HDCP አይፒን በመጠባበቅ ላይ እያለ በግማሽ መንገድ ይቆማል በታችኛው ተፋሰስ ማጠቢያ ማጠናቀቅ እና RECEIVERID_LISTን ወደ RX HDCP IP. በኤችዲሲፒ ዝርዝር ውስጥ እንደተገለጸው የማለቂያ ጊዜ 2 ሴኮንድ ነው። የTX HDCP አይፒ በዚህ ጊዜ ውስጥ ማረጋገጫውን ማጠናቀቅ ካልቻለ፣ ላይ ያለው ምንጭ ማረጋገጥን እንደ አልተሳካም ይቆጥረዋል እና በHDCP ዝርዝር ውስጥ በተገለጸው መሰረት እንደገና ማረጋገጥን ይጀምራል።

ማስታወሻ፡- • ይመልከቱ HDCP ሶፍትዌር መለኪያዎችን በማስተካከል ላይ በገጽ 118 ላይ ያለውን የማሰናከል ዘዴ REPEATER_MODE ለማረም ዓላማ መለኪያ. ን ካሰናከለ በኋላ REPEATER_MODE መለኪያ፣ RX HDCP IP ሁልጊዜ እንደ የመጨረሻ ነጥብ ተቀባይ ለማረጋገጥ ይሞክራል። የTX HDCP IP የማረጋገጫ ሂደቱን አይከፍትም.
• ከሆነ REPEATER_MODE መለኪያ አልነቃም፣ ለኤችዲሲፒ አይፒ የቀረበው የኤችዲሲፒ ቁልፍ የምርት ዋጋ መሆኑን እና እሴቱ ትክክል መሆኑን ያረጋግጡ።
5. የRX HDCP ዲክሪፕት ሁኔታ ምልክት ያልተረጋጋ ነው። ይህ ማለት የተረጋገጠው ሁኔታ ከደረሰ በኋላ RX HDCP IP እንደገና ማረጋገጫ ጠይቋል። ይህ ምናልባት የሚመጣው ኢንክሪፕትድ የተደረገ ቪዲዮ በ RX HDCP IP በትክክል ስላልተፈታ ሊሆን ይችላል። ለ RX HDCP IP ኮር የቀረበው ዓለም አቀፍ ቋሚ (LC128) የምርት ዋጋ መሆኑን እና እሴቱ ትክክል መሆኑን ያረጋግጡ።

HDMI Intel Arria 10 FPGA IP ንድፍ Example የተጠቃሚ መመሪያ መዛግብት

የዚህን የተጠቃሚ መመሪያ ለቅርብ ጊዜ እና ቀዳሚ ስሪቶች፣ HDMI Intel® Arria 10 FPGA IP Design Ex ይመልከቱample የተጠቃሚ መመሪያ. የአይፒ ወይም የሶፍትዌር ስሪት ካልተዘረዘረ ለቀድሞው የአይፒ ወይም የሶፍትዌር ስሪት የተጠቃሚ መመሪያ ተግባራዊ ይሆናል።
የአይፒ ስሪቶች እስከ v19.1 ድረስ ከ Intel Quartus Prime Design Suite ሶፍትዌር ስሪቶች ጋር አንድ አይነት ናቸው። ከ Intel Quartus Prime Design Suite ሶፍትዌር ስሪት 19.2 ወይም ከዚያ በኋላ፣ አይፒ
ኮሮች አዲስ የአይፒ ሥሪት እቅድ አላቸው።

የክለሳ ታሪክ ለ HDMI Intel Aria 10 FPGA IP ንድፍ Example የተጠቃሚ መመሪያ

የሰነድ ሥሪት ኢንቴል ኳርትስ ዋና ስሪት የአይፒ ስሪት ለውጦች
2022.12.27 22.4 19.7.1 የዲዛይኑ የቀድሞ የሃርድዌር እና የሶፍትዌር መስፈርቶች ክፍል የኤችዲኤምአይ ሴት ልጅ ካርድ ክለሳ ለመምረጥ አዲስ ግቤት ታክሏል።ample ለ HDMI 2.0 (FRL ያልሆነ ሁነታ)።
2022.07.29 22.2 19.7.0 • የሳይግዊን ክፍልን ከዊንዶውስ* ስሪት Nios II EDS የማስወገድ ማስታወቂያ እና WSL ለዊንዶውስ* ተጠቃሚዎች መጫን ያስፈልጋል።
• የሴት ልጅ ካርድ እትም ከክለሳ 4 ወደ 9 ተዘምኗል።
2021.11.12 21.3 19.6.1 • አዲሱን የቁልፍ ኢንክሪፕሽን ሶፍትዌር መገልገያ (KEYENC) ለመግለጽ ንዑስ ክፍልን የመደብር የተመሰጠረ HDCP ምርት ቁልፎችን በውጫዊ ፍላሽ ማህደረ ትውስታ ወይም EEPROM (የ HDCP ቁልፍ አስተዳደርን ይደግፉ = 1) አዘምኗል።
• የሚከተሉትን አሃዞች ተወግዷል።
- የፋክስሚል ቁልፍ R1 ለ RX የግል ቁልፍ የውሂብ ድርድር
- የኤችዲሲፒ ምርት ቁልፎች የውሂብ ድርድር (ቦታ ያዥ)
- የ HDCP ጥበቃ ቁልፍ የውሂብ ድርድር (ቅድመ የተገለጸ ቁልፍ)
- HDCP ጥበቃ ቁልፍ በhdcp2x_tx_kmem.mif ተጀምሯል።
- HDCP ጥበቃ ቁልፍ በhdcp1x_rx_kmem.mif ተጀምሯል።
- HDCP ጥበቃ ቁልፍ በhdcp1x_tx_kmem.mif ተጀምሯል።
• የንዑስ ክፍል HDCP ቁልፍ ካርታ ከዲሲፒ ቁልፍ ተንቀሳቅሷል Files ከስህተት ማረም መመሪያዎች በFPGA ውስጥ ግልጽ HDCP ምርት ቁልፎችን ለማከማቸት (የHDCP ቁልፍ አስተዳደርን ይደግፉ = 0)።
2021.09.15 21.1 19.6.0 የ ncsim ማጣቀሻ ተወግዷል
2021.05.12 21.1 19.6.0 • SUPPORT FRL = 1 ወይም SUPPORT HDCP Key Management = 1 ወደ ስእል 29 HDCP በ HDMI ዲዛይን Example Block ዲያግራም.
• ደረጃዎችን በኤችዲሲፒ ቁልፍ ማህደረ ትውስታ ታክሏል። fileዎች በንድፍ Walkthrough.
• SUPPORT FRL = 0 ወደ ክፍል ሲታከል አርድዌርን ያዋቅሩ።
• የድጋፍ HDCP ቁልፍ አስተዳደር መለኪያን ለማብራት ደረጃውን ታክሏል።
• አዲስ ክፍል ታክሏል የተመሰጠረ HDCP ምርት ቁልፎችን በውጫዊ ፍላሽ ማህደረ ትውስታ ወይም EEPROM (የ HDCP ቁልፍ አስተዳደርን ይደግፉ = 1)።
ቀጠለ…
የሰነድ ሥሪት ኢንቴል ኳርትስ ዋና ስሪት የአይፒ ስሪት ለውጦች
• የሰንጠረዥ ግፋ ቁልፍ እና የ LED አመልካቾች ወደ የግፋ አዝራር እና የ LED አመልካቾች (SUPPORT FRL = 0) ተሰይመዋል።
• የተጨመረው የሰንጠረዥ ግፋ አዝራር እና የ LED አመላካቾች (SUPPORT FRL = 1)።
• አዲስ ምዕራፍ ታክሏል በ FPGA ንድፍ ውስጥ የተካተተ የምስጠራ ቁልፍ ጥበቃ።
• አዲስ ምዕራፍ ታክሏል የአርም መመሪያዎች እና ንዑስ ክፍሎች HDCP ሁኔታ ሲግናሎች፣ HDCP ሶፍትዌር መለኪያ እና ተዘውትረው የሚጠየቁ ጥያቄዎችን ማሻሻል።
2021.04.01 21.1 19.6.0 • ለ RX-ብቻ ወይም ለTX-ብቻ ዲዛይን የሚያስፈልጉ የተሻሻለ የምስል አካላት።
• የዘመነ ሠንጠረዥ RTL የመነጨ Files.
• የተሻሻለ ምስል HDMI RX ከፍተኛ ክፍሎች።
• የተወገደ ክፍል HDMI RX ከፍተኛ አገናኝ የስልጠና ሂደት።
• ዲዛይኑን በተለያዩ የFRL ተመኖች ማስኬድ ላይ ያሉትን ደረጃዎች አዘምኗል።
• የተሻሻለ ምስል HDMI 2.1 ንድፍ Example Clocking Scheme.
• የዘመኑ የሰንጠረዥ መዝጊያ እቅድ ምልክቶች።
• የተሻሻለ ምስል HDMI RX-TX ከትራንስሴቨር አርቢተር ወደ TX ላይ ግንኙነት ለመጨመር።
2020.09.28 20.3 19.5.0 • የ HDMI 2.1 ንድፍ የቀድሞ ማስታወሻውን አስወግዷልample በ FRL ሁነታ የሚደግፈው የፍጥነት ደረጃ -1 መሳሪያዎችን በ HDMI Intel FPGA IP Design Example ፈጣን ማስጀመሪያ መመሪያ ለ Intel Arria 10 መሳሪያዎች እና HDMI 2.1 ዲዛይን ዘፀample (የድጋፍ FRL = 1) ክፍሎች. ዲዛይኑ ሁሉንም የፍጥነት ደረጃዎች ይደግፋል.
• የls_clk መረጃ ከሁሉም HDMI 2.1 ንድፍ ተወግዷልampተዛማጅ ክፍሎች. የls_clk ጎራ በንድፍ ውስጥ ጥቅም ላይ አይውልም exampለ.
• የ HDMI 2.1 ንድፍ ምሳሌ የብሎክ ንድፎችን አዘምኗልample በ FRL ሁነታ በ HDMI 2.1 ዲዛይን Example (የድጋፍ FRL = 1)፣ RX-ብቻ ወይም TX-ብቻ ዲዛይኖች የንድፍ አካላት እና የሰዓት መርሃ ግብር ክፍሎችን መፍጠር።
• ማውጫዎቹን አዘምኗል እና ተፈጠረ fileዎች ዝርዝር በማውጫ መዋቅር ክፍሎች ውስጥ።
• ተዛማጅነት የሌላቸው ምልክቶችን ተወግዷል፣ እና የሚከተለውን የኤችዲኤምአይ 2.1 ንድፍ መግለጫ ጨምሯል ወይም አርትዕ አድርጓልampበይነገጽ ሲግናሎች ክፍል ውስጥ ምልክቶች:
- sys_init
- txpll_frl_የተቆለፈ
- tx_os
- txphy_rcfg * ምልክቶች
- tx_reconfig_ተከናውኗል
- txcore_tbcr
- pio_in0_ውጫዊ_ግንኙነት_ወደ ውጪ መላክ
• በዲዛይን RTL መለኪያዎች ክፍል ውስጥ የሚከተሉትን መለኪያዎች ታክለዋል፡
- EDID_RAM_ADDR_WIDTH
- BITEC_DAUGHTER_CARD_REV
- FPLL ይጠቀሙ
- POLARITY_INVERSION
ቀጠለ…
የሰነድ ሥሪት ኢንቴል ኳርትስ ዋና ስሪት የአይፒ ስሪት ለውጦች
• የ HDMI 2.0 ንድፍ ምሳሌ የብሎክ ንድፎችን አዘምኗልample ለ Intel Quartus Prime Pro Edition ሶፍትዌር በኤችዲኤምአይ 2.0 ዲዛይን Example (የድጋፍ FRL = 0)፣ RX-ብቻ ወይም TX-ብቻ ዲዛይኖች የንድፍ ክፍሎችን እና የሰዓት መርሃ ግብር ክፍሎችን መፍጠር።
• ሰዓቱን አዘምኗል እና በተለዋዋጭ ክልል እና ማስተር (ኤችዲአር) የመረጃ ፍሬም ማስገቢያ እና ማጣሪያ ክፍል ውስጥ የምልክት ስሞችን ዳግም አስጀምር።
• ተዛማጅነት የሌላቸው ምልክቶችን ተወግዷል፣ እና የሚከተለውን የኤችዲኤምአይ 2.0 ንድፍ መግለጫ ጨምሯል ወይም አርትዕ አድርጓልampበይነገጽ ሲግናሎች ክፍል ውስጥ ምልክቶች:
- clk_fpga_b3_p
- REFCLK_FMCB_P
- fmcb_la_tx_p_11
- fmcb_la_rx_n_9e
- fr_clck
- የ xcvr_powerupን ዳግም አስጀምር
- nios_tx_i2c * ምልክቶች
- hdmi_ti_i2c * ምልክቶች
- tx_i2c_avalon * ምልክቶች
- clock_bridge_0_in_clk_clk
— ድልድይ_0_reset_reset_n
- i2c_master * ምልክቶች
- nios_tx_i2c * ምልክቶች
— ልክ_የሚሰራ_pio_external_connectio n_export
- oc_i2c_av_slave_ተርጓሚ_አቫሎን_አን ቲ_ስላቭ_0* ምልክቶች
- powerup_cal_ተከናውኗል_ወደ ውጪ መላክ
- rx_pma_cal_busy_export
- rx_pma_ch_export
- rx_pma_rcfg_mgmt * ምልክቶች
• የማስመሰል ቴስትቤንች ከ ጋር ለዲዛይኖች እንደማይደገፍ ማስታወሻ ታክሏል። I2Cን ያካትቱ መለኪያ ነቅቷል እና በ Simulation Testbench ክፍል ውስጥ ያለውን የማስመሰል መልእክት አዘምኗል።
• የንድፍዎን ማሻሻል ክፍል አዘምኗል።
2020.04.13 20.1 19.4.0 • የኤችዲኤምአይ 2.1 ንድፍ የቀድሞ ማስታወሻ ጨምሯል።ample በ FRL ሁነታ የሚደግፈው የፍጥነት ደረጃ -1 መሳሪያዎችን በ HDMI Intel FPGA IP Design Exampለ ኢንቴል አሪያ 10 መሳሪያዎች ፈጣን ጅምር መመሪያ እና ለ HDMI 2.1 ንድፍ Ex ዝርዝር መግለጫample (የድጋፍ FRL = 1) ክፍሎች.
• HDCP ን በኤችዲኤምአይ ንድፍ ላይ አንቀሳቅሷል Example ለ Intel Arria 10 መሳሪያዎች ክፍል ከኤችዲኤምአይ ኢንቴል FPGA IP የተጠቃሚ መመሪያ።
• ኦዲዮዎችን ለማካተት የንድፍ ማስመሰል ክፍልን አርትእ አድርጓልample ጄኔሬተር፣ የጎን ባንድ ዳታ ጀነሬተር እና ረዳት ዳታ ጀነሬተር እና የተሳካ የማስመሰል መልእክት አዘምነዋል።
• ማስመሰል ለ ብቻ ይገኛል የተባለው ማስታወሻ ተወግዷል FRL ይደግፉ የአካል ጉዳተኞች ንድፎች ማስታወሻ. ማስመሰል አሁን ለ FRL ይደግፉ የነቁ ንድፎችም እንዲሁ.
• ለኤችዲኤምአይ 2.1 ዲዛይን Example (የድጋፍ FRL ነቅቷል) ክፍል።
ቀጠለ…
የሰነድ ሥሪት ኢንቴል ኳርትስ ዋና ስሪት የአይፒ ስሪት ለውጦች
• በኤችዲኤምአይ 2.1 RX-TX የንድፍ ብሎክ ዲያግራም፣ የንድፍ አካላት እና አርኤክስ-ብቻ ወይም TX-ብቻ ዲዛይን ክፍሎችን በመፍጠር ለኤችዲኤምአይ 2.1 ንድፍ exampለ. አዲስ አካላት ታክለዋል እና ከአሁን በኋላ የማይተገበሩ አካላት ተወግደዋል።
• RX-Only ወይም TX-Only Designs በሚለው ክፍል ውስጥ የ main.c ስክሪፕት መመሪያን አርትዕ አድርጓል።
• አዲስ ማህደሮችን ለመጨመር እና የማውጫ መዋቅር ክፍሎችን አዘምኗል files ለሁለቱም HDMI 2.0 እና HDMI
2.1 ንድፍ ለምሳሌampሌስ.
• የሃርድዌር እና የሶፍትዌር መስፈርቶች ክፍል ለኤችዲኤምአይ 2.1 ንድፍ ተዘምኗልampለ.
• የብሎክ ዲያግራሙን እና የሲግናል መግለጫዎችን በተለዋዋጭ ክልል እና ማስተር (ኤችዲአር) ኢንፎፍሬም ማስገቢያ እና ማጣሪያ ክፍል ለኤችዲኤምአይ 2.1 ንድፍ የቀድሞampለ.
• ዲዛይኑን በተለያዩ የFRL ተመኖች ማስኬድ አዲስ ክፍል ታክሏል ለ HDMI 2.1 ንድፍ የቀድሞampሌስ.
• የማገጃውን ንድፍ እና የሲግናል መግለጫዎችን በ Clocking Scheme ክፍል ውስጥ ለኤችዲኤምአይ 2.1 ንድፍ ዘምኗልampለ.
• ስለ ተጠቃሚ DIP ማብሪያ / ማብሪያ / ማብሪያ / ማብሪያ / ማብሪያ / ማብሪያ / ማብሪያ / ማብሪያ / ማብሪያ / ማብሪያ / ማብሪያ / ማብሪያ / ማብሪያ / ማብሪያ / ማብሪያ / ማብሪያ / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / ላይ / በ Hardware Setup ክፍል ውስጥ ለኤችዲኤምአይ 2.1 ንድፍ ምሳሌampለ.
• ለ HDMI 2.1 ንድፍ ምሳሌ የንድፍ ገደቦች ክፍል ተዘምኗልampለ.
• የንድፍዎን ማሻሻል ክፍል አዘምኗል።
• የ Simulation Testbench ክፍሎችን ለሁለቱም HDMI 2.0 እና HDMI 2.1 ንድፍ ተዘምኗልampሌስ.
2020.01.16 19.4 19.3.0 • የተሻሻለው HDMI Intel FPGA IP Design Example Quick Start Guide for Intel Arria 10 Devices ክፍል ስለ አዲስ የተጨመረው HDMI 2.1 ንድፍ የቀድሞ መረጃample ከ FRL ሁነታ ጋር።
• አዲስ ምዕራፍ ታክሏል ዝርዝር መግለጫ ለ HDMI 2.1 ንድፍ Example (የድጋፍ FRL ነቅቷል) ስለ አዲስ የተጨመረው ንድፍ ሁሉንም ተዛማጅ መረጃዎችን የያዘampለ.
• የ HDMI ኢንቴል FPGA IP ንድፍ Example ዝርዝር መግለጫ ለዝርዝር መግለጫ ለ HDMI 2.0 ንድፍ ምሳሌampለተሻለ ግልጽነት.
2019.10.31 18.1 18.1 • ታክሏል የመነጨ files በ tx_control_src አቃፊ፡ ti_i2c.c እና ti_i2c.h
• በሃርድዌር እና ሶፍትዌር መስፈርቶች እና የንድፍ ክፍሎችን በማሰባሰብ እና በመሞከር ለFMC ሴት ልጅ ካርድ ክለሳ 11 ተጨማሪ ድጋፍ።
• የንድፍ ገደብ ክፍሉን ተወግዷል። በከፍተኛው skew ገደቦች ላይ የጊዜ ጥሰትን በተመለከተ ያለው ገደብ በስሪት ተፈትቷል።
18.1 የ HDMI Intel FPGA IP.
• የBiec HDMI ሴት ልጅ ካርድ ማሻሻያ እንዲመርጡ ለማስቻል አዲስ የRTL መለኪያ፣ BITEC_DAUGHTER_CARD_REV ታክሏል።
ቀጠለ…
የሰነድ ሥሪት ኢንቴል ኳርትስ ዋና ስሪት የአይፒ ስሪት ለውጦች
• የfmcb_dp_m2c_p እና fmcb_dp_c2m_p ሲግናሎች ስለ ኤፍኤምሲ ሴት ልጅ ካርድ ክለሳዎች 11፣ 6 እና 4 መረጃን ለማካተት ዘምኗል።
• ለBiec ሴት ልጅ ካርድ ክለሳ 11 የሚከተሉትን አዲስ ምልክቶች ታክሏል፡
- hdmi_tx_ti_i2c_sda
- hdmi_tx_ti_i2c_scl
- oc_i2c_ማስተር_ቲ_አቫሎን_ፀረ_ባሪያ_አንድ ልብስ
- oc_i2c_ማስተር_ቲ_አቫሎን_የፀረ_ባሪያ_ው ስርዓት
- oc_i2c_ማስተር_ቲ_አቫሎን_ፀረ_ባሪያ_አር ኤዳታ
- oc_i2c_master_ti_avalon_anti_slave_w ritedata
- oc_i2c_ማስተር_ቲ_አቫሎን_ፀረ_ባሪያ_ው አይትሬክሰስ
• የእርስዎን ንድፍ ስለማሻሻል ክፍል ታክሏል።
2017.11.06 17.1 17.1 • እንደ ኢንቴል ብራንዲንግ ኤችዲኤምአይ IP ኮር ወደ HDMI Intel FPGA IP ሰይሟል።
• Qsys የሚለውን ቃል ወደ መድረክ ዲዛይነር ቀይሮታል።
• ስለ Dynamic Range እና Mastering InfoFrame (HDR) ማስገባት እና ማጣሪያ ባህሪ መረጃ ታክሏል።
• የማውጫውን መዋቅር አዘምኗል፡-
— ታክሏል ስክሪፕት እና ሶፍትዌር አቃፊዎች እና files.
- የተዘመነ የጋራ እና ኤችዲአር files.
- ተወግዷል ax files.
- የተለየ files ለ Intel Quartus Prime Standard Edition እና Intel Quartus Prime Pro እትም.
• እንደ 10AX115S2F4I1SG ጥቅም ላይ የዋለውን መሳሪያ ለመጨመር የንድፍ ማመንጨት ክፍሉን አዘምኗል።
• ለ50-100 MHz TMDS የሰዓት ድግግሞሽ የትራንሴቨር ዳታ መጠንን ወደ 2550-5000 ሜጋ ባይት አርትዕ አድርጓል።
• ውጫዊ ማጣሪያን ለማሰናከል የተጠቃሚ_pb[2] አዝራሩን መልቀቅ የሚችሉትን የRX-TX አገናኝ መረጃ አዘምኗል።
• የI2C ማስተር እና የኤችዲኤምአይ ምንጭ መቆጣጠሪያዎችን የሚያካትት የኒዮስ II ሶፍትዌር ፍሰት ዲያግራምን አዘምኗል።
• ስለ ተጨምሯል መረጃ ንድፍ Example GUI መለኪያዎች.
• ታክሏል HDMI RX እና TX ከፍተኛ ንድፍ መለኪያዎች.
• እነዚህን የኤችዲኤምአይ RX እና TX ከፍተኛ ደረጃ ምልክቶች ታክለዋል፡
- mgmt_clk
- ዳግም አስጀምር
- i2c_clk
- hdmi_clk_in
- እነዚህን የኤችዲኤምአይ RX እና TX ከፍተኛ ደረጃ ምልክቶችን አስወግደዋል፡-
• ስሪት
• i2c_clk
ቀጠለ…
የሰነድ ሥሪት ኢንቴል ኳርትስ ዋና ስሪት የአይፒ ስሪት ለውጦች
• የትራንስሲቨር አናሎግ መቼት ለIntel Arria 10 FPGA Development Kit እና Biec HDMI 2.0 ሴት ልጅ ካርድ መሞከሩን ማስታወሻ ታክሏል። ለቦርድዎ የአናሎግ ቅንብርን ማስተካከል ይችላሉ።
• ለIntel Arria 10 PLL ማመሳከሪያ ሰዓት የPLL cascading ወይም ያልተሰጡ የሰዓት መንገዶችን ለማስወገድ ለስራ መጠበቂያ አገናኝ ታክሏል።
• ትራንስሴቨር RX ፒን እንደ ሲዲአር ሪፍክሊክ ለ HDMI RX ወይም እንደ TX PLL ለ HDMI TX መጠቀም እንደማይችሉ ማስታወሻ ታክሏል።
• TX PMA እና PCS ትስስርን ለሚጠቀሙ ዲዛይኖች set_max_skew ገደብ እንዴት እንደሚታከሉ ማስታወሻ ታክሏል።
2017.05.08 17.0 17.0 • ኢንቴል የሚል ስም ተሰጥቶታል።
• ክፍል ቁጥር ተቀይሯል.
• የማውጫውን መዋቅር አዘምኗል፡-
- ኤችዲአር ታክሏል። files.
- Qsys_vip_passthrough.qsys ወደ nios.qsys ተለውጧል።
- ታክሏል fileለ Intel Quartus Prime Pro እትም የተሰየመ።
• የተሻሻለ መረጃ የ RX-TX ሊንክ ብሎክ ከኤችዲኤምአይ RX ረዳት መረጃ በከፍተኛ ተለዋዋጭ ክልል (ኤችዲአር) ላይ ውጫዊ ማጣሪያን እንደሚያደርግ እና የቀድሞ ሰው ያስገባል።ample HDR Infoframe ወደ HDMI TX ረዳት ውሂብ በአቫሎን ST multiplexer።
• ለTranceiver Native PHY መግለጫ የኤችዲኤምአይ TX ኢንተር-ቻናል skew መስፈርትን ለማሟላት፣ በ Arria 10 Transceiver Native PHY ፓራሜትር አርታዒ ውስጥ የTX ቻናል ትስስር ሁኔታን ማቀናበር እንዳለቦት ማስታወሻ ታክሏል። ፒኤምኤ እና ፒሲኤስ ትስስር.
• የዘመነ መግለጫ ለኦኤስ እና የመለኪያ ምልክቶች።
• ኦቨርስ ተስተካክሏል።ampTX FPLL የቀጥታ የሰዓት እቅድን ለመደገፍ በእያንዳንዱ የTMDS የሰዓት ድግግሞሽ ክልል ለተለያዩ የትራንስሲቨር ዳታ መጠን ling factor።
• TX IOPLL ወደ TX FPLL ካስኬድ ክሎቲንግ እቅድ ወደ TX FPLL ቀጥተኛ እቅድ ተቀይሯል።
• ታክሏል TX PMA መልሶ ማዋቀር ምልክቶች።
• USER_LED[7] ኦቨርስ ተስተካክሏል።ampሊንግ ሁኔታ. 1 ከመጠን ያለፈ ያሳያልampled (የውሂብ መጠን በAria 1,000 መሣሪያ ውስጥ <10 Mbps)።
• የዘመነ HDMI ንድፍ Example የሚደገፉ ሲሙሌተሮች ሰንጠረዥ. VHDL ለNCsim አይደገፍም።
• በማህደር ወደተቀመጠው የ Arria 10 HDMI IP Core Design Example የተጠቃሚ መመሪያ.
2016.10.31 16.1 16.1 የመጀመሪያ ልቀት

ኢንቴል ኮርፖሬሽን. መብቱ በህግ የተጠበቀ ነው. ኢንቴል፣ የኢንቴል አርማ እና ሌሎች የኢንቴል ምልክቶች የኢንቴል ኮርፖሬሽን ወይም የስርጭቱ የንግድ ምልክቶች ናቸው። ኢንቴል የኤፍፒጂኤ እና ሴሚኮንዳክተር ምርቶቹን በIntel መደበኛ ዋስትና መሰረት ለአሁኑ ዝርዝር መግለጫዎች ዋስትና ይሰጣል፣ነገር ግን በማናቸውም ምርቶች እና አገልግሎቶች ላይ ያለማሳወቂያ በማንኛውም ጊዜ ለውጦችን የማድረግ መብቱ የተጠበቀ ነው። ኢንቴል በዚህ ውስጥ የተገለጸውን ማንኛውንም መረጃ፣ ምርት ወይም አገልግሎት ከመተግበሩ ወይም ከመጠቀሙ የተነሳ ምንም አይነት ሃላፊነት ወይም ተጠያቂነት አይወስድም። የኢንቴል ደንበኞች በማናቸውም የታተመ መረጃ ላይ ከመታመንዎ በፊት እና ለምርቶች ወይም አገልግሎቶች ትዕዛዝ ከማቅረባቸው በፊት የቅርብ ጊዜውን የመሳሪያ ዝርዝር መግለጫዎችን እንዲያገኙ ይመከራሉ። *ሌሎች ስሞች እና የንግድ ምልክቶች እንደሌሎች ንብረት ሊጠየቁ ይችላሉ።

intel HDMI Arria 10 FPGA IP ንድፍ Example - አዶ 1 የመስመር ላይ ስሪት
intel HDMI Arria 10 FPGA IP ንድፍ Example - አዶ ግብረ መልስ ላክ
መታወቂያ፡ 683156
ስሪት: 2022.12.27

ሰነዶች / መርጃዎች

intel HDMI Arria 10 FPGA IP ንድፍ Example [pdf] የተጠቃሚ መመሪያ
HDMI Arria 10 FPGA IP ንድፍ Example, HDMI Arria, 10 FPGA IP ንድፍ Example, ንድፍ Example

ዋቢዎች

አስተያየት ይስጡ

የኢሜል አድራሻዎ አይታተምም። አስፈላጊ መስኮች ምልክት ተደርጎባቸዋል *