ኢንቴል አርማIntel® Quartus® ዋና መደበኛ እትም
ስሪት 22.1 ኛ የሶፍትዌር እና የመሣሪያ ድጋፍ የመልቀቂያ ማስታወሻዎች
ለIntel® Quartus® Prime Design Suite፡ 22.1std.1 ተዘምኗል
የተጠቃሚ መመሪያ

Intel® Quartus® Prime Standard Edition ስሪት 22.1std የሶፍትዌር እና የመሳሪያ ድጋፍ የመልቀቂያ ማስታወሻዎች

ይህ ሰነድ ስለ Intel® Quartus® Prime Standard Edition ስሪት 22.1std እና 22.1std.1 ዘግይቶ ሰበር መረጃን ይሰጣል።
ስለዚህ የሶፍትዌር መለቀቅ ተጨማሪ መረጃ ለማግኘት የIntel Quartus Prime Standard Edition READMEን ይመልከቱ file በሚከተለው ቦታ፡- /quartus/readme.txt
ስለ ስርዓተ ክወና ድጋፍ መረጃ ለማግኘት የሚከተለውን ይመልከቱ web ገጽ፡ Intel FPGA ኦፐሬቲንግ ሲስተም ድጋፍ።

ተዛማጅ መረጃ

  • Intel Quartus Prime Pro እትም የሶፍትዌር እና የመሣሪያ ድጋፍ የመልቀቂያ ማስታወሻዎች
  • Intel Quartus Prime Standard Edition ንድፍ ሶፍትዌር ለሊኑክስ
  • Intel Quartus Prime Standard Edition ንድፍ ሶፍትዌር ለዊንዶው
  • Intel Quartus Prime Lite እትም ዲዛይን ሶፍትዌር ለሊኑክስ
  • Intel Quartus Prime Lite እትም ንድፍ ሶፍትዌር ለዊንዶው
  • ኢንቴል FPGA ሶፍትዌር መጫን እና ፍቃድ መስጠት

1.1. አዲስ ባህሪዎች እና ማሻሻያዎች
Intel Quartus Prime Standard Edition የሶፍትዌር ስሪት 22.1std እና ስሪት 22.1std.1 ተግባራዊ እና የደህንነት ማሻሻያዎችን ያካትታሉ። ሶፍትዌርዎን ወቅታዊ ያድርጉት እና ይከተሉ ቴክኒካዊ ምክሮች የእርስዎን Intel Quartus Prime ጭነት ደህንነት ለማሻሻል የሚረዳ።
Intel Quartus Prime Standard Edition የሶፍትዌር ስሪት 22.1std የሚከተሉትን አዲስ ባህሪያት እና ማሻሻያዎችን ያካትታል፡-

  • ለNios® V/m ፕሮሰሰር ታክሏል።
  • ለIntel MAX® 10 መሳሪያዎች፣ የ1.8V LVDS ድጋፍ ታክሏል።

የሳንካ ጥገናዎች
Intel Quartus Prime Standard Edition የሶፍትዌር ስሪት 22.1std እና ስሪት 22.1std.1 እንዲሁም የሳንካ ጥገናዎችን ያካትታሉ። ድጋሚview በገጽ 13 ላይ የተፈቱ የሶፍትዌር ጉዳዮች እና የሶፍትዌር መጠገኛዎች በዚህ መልቀቂያ በገጽ 13 ላይ የተካተቱት ይህ እትም ለማንኛውም የደንበኛ አገልግሎትዎ (ኢንቴል ፕሪሚየር ድጋፍ) ጥያቄዎችን ለማስተካከል ወይም በሌላ መንገድ የሚፈታ መሆኑን ለማየት።

1.2. በሶፍትዌር ባህሪ ላይ የተደረጉ ለውጦች
ይህ ክፍል የኢንቴል ኳርተስ ፕራይም ስታንዳርድ እትም ሶፍትዌር ባህሪ እና ነባሪ ቅንጅቶች ከቀደምት የኢንቴል ኳርተስ ፕራይም ስታንዳርድ እትም ሶፍትዌር የተቀየሩባቸውን አጋጣሚዎች ዘግቧል።
ወደ Intel Quartus Prime Default ቅንብሮች ይመልከቱ File (.qdf)፣ /quartus/bin/assignment_defaults.qdf፣ ለአዲሱ የኢንቴል ኳርተስ ፕራይም ሶፍትዌር ስሪት የሁሉም ነባሪ ምደባ ቅንጅቶች ዝርዝር።

1.2.1. የተቋረጡ ባህሪዎች እና ተግባራት
በዚህ ክፍል የተዘረዘሩት ተግባራት እና ባህሪያት ተቋርጠዋል ነገር ግን ከIntel Quartus Prime Standard Edition 22.1std.1 ወይም ከዚያ በፊት አልተወገዱም።
የተቋረጡ ባህሪያት እና ተግባራቶች ከመጥፋታቸው በፊት ተተኪውን ወይም ተለዋጭ ባህሪያትን እና ተግባራትን ለመጠቀም መሳሪያዎን እና ሂደቶችን ያዛውሩ።
እንደ Intel Quartus Prime Standard ባህሪያት እና ተግባራት ተቋርጠዋል እትም ስሪት 22.1std.1
በIntel Quartus Prime Standard Edition ስሪት 22.1.1 ውስጥ ምንም የIntel Quartus Prime ባህሪያት ወይም ተግባራት ተቋርጠዋል።
እንደ Intel Quartus Prime Standard ባህሪያት እና ተግባራት ተቋርጠዋል እትም ስሪት 22.1st
በIntel Quartus Prime Standard Edition ስሪት 22.1 ውስጥ ምንም የIntel Quartus Prime ባህሪያት ወይም ተግባራት ተቋርጠዋል።
ከኢንቴል ኳርትስ ዋና መደበኛ እትም ስሪት 21.1.1 ጀምሮ የተቋረጡ ባህሪዎች እና ተግባራት
በIntel Quartus Prime Standard Edition ስሪት 21.1.1 ውስጥ ምንም የIntel Quartus Prime ባህሪያት ወይም ተግባራት ተቋርጠዋል።
እንደ Intel Quartus Prime Standard ባህሪያት እና ተግባራት ተቋርጠዋል እትም ስሪት 21.1
በIntel Quartus Prime Standard Edition ስሪት 21.1 ውስጥ ምንም የIntel Quartus Prime ባህሪያት ወይም ተግባራት ተቋርጠዋል።
እንደ Intel Quartus Prime Standard ባህሪያት እና ተግባራት ተቋርጠዋል እትም ስሪት 20.1
በIntel Quartus Prime Standard Edition ስሪት 20.1 ውስጥ ምንም የIntel Quartus Prime ባህሪያት ወይም ተግባራት ተቋርጠዋል።

1.2.2. የተወገዱ ባህሪዎች እና ተግባራት
በዚህ ክፍል የተዘረዘሩት ተግባራት እና ባህሪያት ከIntel Quartus Prime Standard Edition 22.1std.1 ወይም ከዚያ ቀደም ተወግደዋል።
ከIntel Quartus Prime Standard እትም ባህሪያት እና ተግባራት ተወግደዋል ስሪት 22.1std.1
ከIntel Quartus Prime Standard Edition ስሪት 22.1.1 ምንም አይነት የIntel Quartus Prime ባህሪያት ወይም ተግባራት አልተወገዱም።
ከIntel Quartus Prime Standard እትም ባህሪያት እና ተግባራት ተወግደዋል ስሪት 22.1st
ከIntel Quartus Prime Standard Edition ስሪት 22.1 ምንም አይነት የIntel Quartus Prime ባህሪያት ወይም ተግባራት አልተወገዱም።
ከIntel Quartus Prime Standard እትም ባህሪያት እና ተግባራት ተወግደዋል ስሪት 21.1.1
ከIntel Quartus Prime Standard Edition ስሪት 21.1.1 ምንም አይነት የIntel Quartus Prime ባህሪያት ወይም ተግባራት አልተወገዱም።
ከIntel Quartus Prime Standard እትም ባህሪያት እና ተግባራት ተወግደዋል ስሪት 21.1

  • የሞዴል ሲም*-ኢንቴል FPGA እትም እና የሞዴል ሲም-ኢንቴል FPGA ማስጀመሪያ እትም ተወግዷል።
    ይህ የማስመሰል ሶፍትዌር በQuesta*-Intel FPGA Edition እና Questa-Intel FPGA Starter እትም በቅደም ተከተል ተተክቷል።
  • ለ32-ቢት የማስመሰል ሶፍትዌር ድጋፍ ተወግዷል።
    ይህ ለውጥ ለሚከተሉት የማስመሰል መሳሪያዎች ድጋፍን ያስወግዳል።
    — Aldec* ንቁ-HDL* (32-ቢት)
    ባለ 64-ቢት የ Aldec Active-HDL ስሪት ይጠቀሙ ወይም በምትኩ Aldec Riviera-PRO* ይጠቀሙ።
    - አማካሪ ግራፊክስ * ሞዴል ሲም ፒኢ
    በምትኩ Siemens* EDA ModelSim SE ወይም Siemens EDA Questa Advanced Simulator ይጠቀሙ።
  • NicheStack TCP/IP Stack ድጋፍ ተወግዷል።
  • ለ Cadence* Incisive* Enterprise Simulator (IES) ድጋፍ ተወግዷል።

ከIntel Quartus Prime Standard እትም ባህሪያት እና ተግባራት ተወግደዋል ስሪት 20.1
ለሚከተሉት ሶፍትዌሮች ድጋፍ ከIntel Quartus Prime Standard Edition 20.1 እና በኋላ ተወግዷል።

  • DSP ገንቢ ለኢንቴል FPGAs
  • ኢንቴል FPGA ኤስዲኬ ለOpenCL™ (*)
  • ኢንቴል FPGA RTE ለ OpenCL
  • ኢንቴል ከፍተኛ-ደረጃ ውህድ (HLS) ማጠናቀር

(*) OpenCL እና OpenCL አርማ በ Khronos Group™ ፈቃድ ጥቅም ላይ የሚውሉ የአፕል ኢንክ የንግድ ምልክቶች ናቸው።

1.3. የስርዓተ ክወና ድጋፍ
ስለ Intel Quartus Prime Design Suite ስለ ስርዓተ ክወና ድጋፍ መረጃ በኢንቴል FPGA የስርዓተ ክወና ድጋፍ ገጽ ላይ ይገኛል webጣቢያ.
በ Intel Quartus Prime Standard እትም ውስጥ የስርዓተ ክወና ድጋፍ ለውጦች ስሪት 22.1std.1
በ Intel Quartus Prime Standard Edition ስሪት 22.1std.1 ውስጥ ምንም የስርዓተ ክወና ድጋፍ ለውጦች የሉም።
በ Intel Quartus Prime Standard እትም ውስጥ የስርዓተ ክወና ድጋፍ ለውጦች ስሪት 22.1st
ለሚከተሉት ኦፕሬቲንግ ሲስተሞች የሚደረገው ድጋፍ ከIntel Quartus Prime Standard Edition ስሪት 22.1 ጀምሮ ተቋርጧል።

  • CentOS * ሊኑክስ 8.2
  • ዊንዶውስ አገልጋይ * 2012
  • ዊንዶውስ አገልጋይ 2016
  • ዊንዶውስ * 10 ስሪት 1607

የእርስዎን የዊንዶውስ 10 ጭነት ወደ ዊንዶውስ 10 ስሪት 1809 ወይም ከዚያ በላይ ያዛውሩ።
የእነዚህ ስርዓተ ክወናዎች ድጋፍ ወደፊት በሚለቀቅበት ጊዜ ሊወገድ ይችላል።
Intel Quartus Prime Standard Edition ስሪት 22.1 ለሚከተሉት ስርዓተ ክወናዎች ድጋፍን አስወግዷል።

  • CentOS ሊኑክስ 7.5
  • CentOS ሊኑክስ 8.0(1)
  • CentOS ሊኑክስ 8.1(1)
  • ቀይ ኮፍያ * ኢንተርፕራይዝ ሊኑክስ* 7
  • ቀይ ኮፍያ ኢንተርፕራይዝ ሊኑክስ 8.0(2)
  • ቀይ ኮፍያ ኢንተርፕራይዝ ሊኑክስ 8.1(2)

በ Intel Quartus Prime Standard እትም ውስጥ የስርዓተ ክወና ድጋፍ ለውጦች ስሪት 21.1.1
በ Intel Quartus Prime Standard Edition ስሪት 21.1.1 ውስጥ ምንም የስርዓተ ክወና ድጋፍ ለውጦች የሉም።
በ Intel Quartus Prime Standard እትም ውስጥ የስርዓተ ክወና ድጋፍ ለውጦች ስሪት 21.1
Intel Quartus Prime Standard Edition ስሪት 21.1 ለሚከተሉት ስርዓተ ክወናዎች ድጋፍን አክሏል፡

  1. CentOS Linux 8.2 በ Intel Quartus Prime Standard Edition ስሪት 22.1 የተደገፈ ይቆያል
  2. Red Hat* Enterprise Linux 8.2 በ Intel Quartus Prime Standard Edition ስሪት 22.1 የተደገፈ ይቀራል
  • CentOS 8.0
  • ቀይ ኮፍያ ኢንተርፕራይዝ ሊኑክስ 8
  • SUSE * ሊኑክስ ኢንተርፕራይዝ አገልጋይ 15
  • ኡቡንቱ * ሊኑክስ 20 LTS
  • ዊንዶውስ አገልጋይ 2019

ለሚከተሉት ኦፕሬቲንግ ሲስተሞች የሚደረገው ድጋፍ ከIntel Quartus Prime Standard Edition ስሪት 21.1 ጀምሮ ተቋርጧል። የእነዚህ ስርዓተ ክወናዎች ድጋፍ ወደፊት በሚለቀቅበት ጊዜ ሊወገድ ይችላል፡-

  • CentOS 7.5
  • ቀይ ኮፍያ ኢንተርፕራይዝ ሊኑክስ 7

Intel Quartus Prime Standard Edition ስሪት 21.1 ለሚከተሉት ስርዓተ ክወናዎች ድጋፍን አስወግዷል።

  • ቀይ ኮፍያ ኢንተርፕራይዝ ሊኑክስ 6
  • ኡቡንቱ ሊኑክስ 14 LTS

ተዛማጅ መረጃ
የስርዓተ ክወና ድጋፍ

1.4. የዲስክ ቦታ እና የማህደረ ትውስታ ምክሮች
የኢንቴል ኳርትስ ፕራይም ስታንዳርድ እትም ሶፍትዌር ሙሉ መጫን እስከ 40 ጂቢ የሚገኝ የዲስክ ቦታ ይፈልጋል።
የእርስዎን ንድፍ ለማስኬድ ከሚያስፈልገው ከሚመከረው አካላዊ ራም ጋር እኩል የሆነ ተጨማሪ ምናባዊ ማህደረ ትውስታ ለማቅረብ ስርዓትዎን ያዋቅሩ። ይህ ተጨማሪ ምናባዊ ማህደረ ትውስታ የእርስዎን ዲዛይን ለማስኬድ ያለውን አጠቃላይ ውጤታማ ማህደረ ትውስታን ውጤታማ በሆነ መንገድ በእጥፍ ይጨምራል።
ማስታወሻ፡-
ከፍተኛው ምናባዊ ማህደረ ትውስታ ከእነዚህ ምክሮች ሊበልጥ ይችላል። እነዚህ ምክሮች ወሰን በሌለው ራም መጠን በሃርድዌር ላይ ከተገኘው በ10% ውስጥ የሩጫ ጊዜን ለማሳካት በሚያስፈልገው የአካላዊ ማህደረ ትውስታ መጠን ላይ የተመሰረቱ ናቸው።

ሠንጠረዥ 1.
Arria® ንድፎችን ለመስራት የማህደረ ትውስታ መስፈርቶች
እነዚህ መስፈርቶች ለሁለቱም የዊንዶውስ እና ሊነክስ ጭነቶች ተመሳሳይ ናቸው.

ቤተሰብ መሳሪያ የሚመከር አካላዊ RAM
ኢንቴል አሪያ® 10 10AT115፣ 10AX115 48 ጊባ
10AT090፣ 10AX090 44 ጊባ
10AS066፣ 10AX066 32 ጊባ
10AS057፣ 10AX057 30 ጊባ
10ASO48, 10AX048 28 ጊባ
10AX032, 10AS032 24 ጊባ
10AX027, 10AS027 22 ጊባ
10AX022, 10AS022 20 ጊባ
10AX016, 10AS016 18 ጊባ
አሪያ ቪ 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 16 ጊባ
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 12 ጊባ
5AGXA7፣ 5AGTC7 10 ጊባ
5AGTC3, 5AGXA3, 5AGXA5 8 ጊባ
5AGXA1 6 ጊባ
አሪያ ቪ GZ 5AGZE7 16 ጊባ
5AGZE3፣ 5AGZE5 12 ጊባ
5AGZE1 8 ጊባ
አሪያ II GX EP2AGX260 6 ጊባ
EP2AGX95, EP2AGX125, EP2AGX190 4 ጊባ
EP2AGX65 2 ጊባ
EP2AGX45 1.5 ጊባ
አሪያ II GZ EP2AGZ350 8 ጊባ
EP2AGZ300 6 ጊባ
EP2AGZ225 4 ጊባ

ሠንጠረዥ 2.
Cyclone® ንድፎችን ለማስኬድ የማህደረ ትውስታ መስፈርቶች
እነዚህ መስፈርቶች ለሁለቱም የዊንዶውስ እና ሊነክስ ጭነቶች ተመሳሳይ ናቸው.

ቤተሰብ መሳሪያ የሚመከር አካላዊ RAM
Intel Cyclone® 10 LP 10CL120 1.5 ጊባ
10CL080፣ 10CL055 1 ጊባ
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 512 ሜባ
ሳይክሎን ቪ 5CEA9, 5CGTD9, 5CGXC9 8 ጊባ
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 6 ጊባ
ሳይክሎን IV GX EP4CGX110፣ EP4CGX150 2 ጊባ
EP4CGX50፣ EP4CGX75 1.5 ጊባ
EP4CGX15, EP4CGX22, EP4CGX30 512 ሜባ
ሳይክሎን IV ኢ EP4CE115 1.5 ጊባ
EP4CE55፣ EP4CE75 1 ጊባ
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 512 ሜባ

ሠንጠረዥ 3.
የማክስ ዲዛይኖችን ለማስኬድ የማህደረ ትውስታ መስፈርቶች
እነዚህ መስፈርቶች ለሁለቱም የዊንዶውስ እና ሊነክስ ጭነቶች ተመሳሳይ ናቸው.

ቤተሰብ መሳሪያ የሚመከር አካላዊ RAM
ኢንቴል MAX 10 10M50 2 ጊባ
10M16 2 ጊባ
10M25 2 ጊባ
10M40 2 ጊባ
10M04፣ 10M08 1 ጊባ
10M02 512 ሜባ
ማክስ ቪ ሁሉም 512 ሜባ
ማክስ II ሁሉም 512 ሜባ

ሠንጠረዥ 4.
Stratix®Designsን ለመስራት የማህደረ ትውስታ መስፈርቶች
እነዚህ መስፈርቶች ለሁለቱም የዊንዶውስ እና ሊነክስ ጭነቶች ተመሳሳይ ናቸው.

ቤተሰብ መሳሪያ የሚመከር አካላዊ RAM
Stratix® V 5SEEB፣ 5SGXAB፣ 5SGXB9፣ 5SGXBB 28 ጊባ
5SGXA9፣ 5SEE9 24 ጊባ
5SGTC7, 5SGXA7, 5SGSD8 20 ጊባ
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 16 ጊባ
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 12 ጊባ
5SGSD3 8 ጊባ
Stratix IV EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 12 ጊባ
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 8 ጊባ
EP4SGX290 6 ጊባ
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 4 ጊባ
EP4SGX70 2 ጊባ

1.5. የመሣሪያ ድጋፍ እና ፒን-ውጭ ሁኔታ
ሁሉም የማምረቻ መሳሪያዎች በአሁኑ ጊዜ ሙሉ ማጠናቀር፣ ማስመሰል፣ የጊዜ ትንተና እና የፕሮግራም ድጋፍ አላቸው።
1.5.1. በመሳሪያ ድጋፍ ላይ ለውጦች
1.6. የጊዜ ሞዴል፣ የኃይል ሞዴል እና የመሣሪያ ሁኔታ
ሠንጠረዥ 5.
ለIntel Arria 10 መሳሪያዎች የጊዜ ሞዴል፣ የሃይል ሞዴል እና የመሣሪያ ሁኔታ

የመሣሪያ ቤተሰብ መሳሪያ የጊዜ አጠባበቅ ሞዴል ሁኔታ የኃይል ሞዴል ሁኔታ የመሣሪያ ሁኔታ
ኢንቴል አሪያ 10 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 የመጨረሻ - 16.1 (እ.ኤ.አ.)3)(4) የመጨረሻ - 17.0 የመጨረሻ - 17.0
10AX048, 10AS048 የመጨረሻ - 16.0.2 (እ.ኤ.አ.)4) የመጨረሻ - 17.0 የመጨረሻ - 17.0
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 የመጨረሻ - 16.0.1 (እ.ኤ.አ.)4) የመጨረሻ - 16.0.1 የመጨረሻ - 16.0.1
10AX115፣ 10AT115 የመጨረሻ - 16.0 (እ.ኤ.አ.)4) የመጨረሻ - 16.0 የመጨረሻ - 16.0

(3) በIntel Quartus Prime ሶፍትዌር ስሪት 1 -17.0 የፍጥነት ደረጃ ያላቸው መሳሪያዎች ተጠናቀዋል
(4) ሁሉም የውትድርና ደረጃ መሳሪያዎች በ Intel Quartus Prime ሶፍትዌር ስሪት 18.0.1 ተጠናቅቀዋል።

ሠንጠረዥ 6.
ለኢንቴል ሳይክሎን 10 መሳሪያዎች የጊዜ ሞዴል፣ የሃይል ሞዴል እና የመሣሪያ ሁኔታ

የመሣሪያ ቤተሰብ መሳሪያ የጊዜ አጠባበቅ ሞዴል ሁኔታ የኃይል ሞዴል ሁኔታ የመሣሪያ ሁኔታ
ኢንቴል ሳይክሎን 10 ኤል.ፒ 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 የመጨረሻ - 17.0 የመጨረሻ - 17.1 የመጨረሻ - 17.1

ሠንጠረዥ 7.
ለIntel MAX 10 መሳሪያዎች የጊዜ ሞዴል፣ የሃይል ሞዴል እና የመሣሪያ ሁኔታ

የመሣሪያ ቤተሰብ መሳሪያ የጊዜ አጠባበቅ ሞዴል ሁኔታ የኃይል ሞዴል ሁኔታ የመሣሪያ ሁኔታ
ኢንቴል MAX 10 10M02, 10M04, 10M08 የመጨረሻ - 15.1 (እ.ኤ.አ.)5) የመጨረሻ - 15.1 የመጨረሻ - 15.1
10M16, 10M25, 10M40, 10M50 የመጨረሻ - 15.1.2 የመጨረሻ - 15.1 የመጨረሻ - 15.1

የአሁኑ የኢንቴል ኳርተስ ፕራይም ሶፍትዌር ስሪት እንዲሁ ለአሪያ II ጂኤክስ፣ አሪያ II GZ፣ አሪያ ቪ፣ አሪያ ቪ ጂዜድ፣ አሪያ ቪ ሶሲ፣ ሳይክሎን IV ኢ፣ ሳይክሎን IV ጂኤክስ፣ ሳይክሎን ቪ፣ ሳይክሎን ቪ የመጨረሻ ጊዜ እና የሃይል ሞዴሎችን ያካትታል። SoC፣ MAX II፣ MAX II Z፣ MAX V፣ Stratix IV፣ እና Stratix V መሣሪያ ቤተሰቦች። የእነዚህ መሳሪያ ቤተሰቦች የጊዜ አጠባበቅ ሞዴሎች በIntel Quartus Prime የሶፍትዌር ስሪቶች 11.1 ወይም ከዚያ በፊት የመጨረሻ ሆነዋል።

1.7. የ IBIS ሞዴሎች
ሠንጠረዥ 8. የ IBIS ሞዴል ሁኔታ ለ Intel Quartus Prime Standard Edition ሶፍትዌር የተለቀቀው ስሪት 22.1std
ከIntel Quartus Prime Standard እትም የሶፍትዌር ስሪት 16.0 ጀምሮ፣ የመሣሪያ ቤተሰቦች የ IBIS ሞዴል ደረጃዎች ወይ ቅድመ፣ ቅድመ ወይም የመጨረሻ ናቸው።

የመሣሪያ ቤተሰብ የIBIS ሞዴል ሁኔታ
ኢንቴል አሪያ 10 የመጨረሻ - 16.1.2
አሪያ ቪ ከ PHY መሣሪያ አሠራር ጋር የተያያዘ - 14.0
አሪያ II GX ከ PHY መሣሪያ አሠራር ጋር የተያያዘ - 11.1
አሪያ II GZ ከ PHY መሣሪያ አሠራር ጋር የተያያዘ - 11.1
ኢንቴል ሳይክሎን 10 ኤል.ፒ የመጨረሻ - 17.0
ሳይክሎን ቪ ከ PHY መሣሪያ አሠራር ጋር የተያያዘ - 14.0
ሳይክሎን IV ኢ ከ PHY መሣሪያ አሠራር ጋር የተያያዘ - 11.1
ሳይክሎን IV GX ከ PHY መሣሪያ አሠራር ጋር የተያያዘ - 11.1
ኢንቴል MAX 10 የመጨረሻ - 16.0
ማክስ ቪ ከ PHY መሣሪያ አሠራር ጋር የተያያዘ - 11.1
ስትራቲክስ ቪ ከ PHY መሣሪያ አሠራር ጋር የተያያዘ - 13.0 SP1
Stratix IV ከ PHY መሣሪያ አሠራር ጋር የተያያዘ - 11.1

የተዘመኑ የ IBIS ሞዴሎች በ IBIS ሞዴሎች ለIntel FPGA መሳሪያዎች በመስመር ላይ ይገኛሉ web ገጽ. የIBIS ሞዴሎች ለመሣሪያዎች ሲገኙ ወይም ሲዘመኑ ይህ ገጽ ተዘምኗል።
(5) ለMAX 10 A6 የፍጥነት ደረጃ ክፍሎች የጊዜ አጠባበቅ ሞዴል ሁኔታዎች እንደ ቅድመ ሁኔታ ይቀራሉ።
1.8. EDA በይነገጽ መረጃ
ሠንጠረዥ 9.
የኢንቴል ኳርትስ ዋና መደበኛ እትም የሶፍትዌር መልቀቂያ ስሪት 22.1stdን የሚደግፉ የውህደት መሳሪያዎች

የመዋሃድ መሳሪያዎች ሥሪት
የ Siemens EDA ትክክለኛነት* የኢንቴል ኳርተስ ፕራይም ሶፍትዌርን የሚደግፉ የ Siemens EDA Precision ስሪቶች በተለምዶ ኢንቴል ኳርተስ ፕራይም ሶፍትዌር ከተለቀቀ በኋላ ይለቀቃሉ። Intel Quartus Prime Standard Edition የሶፍትዌር መልቀቂያ ስሪት 22.1stdን የሚደግፉ የ Siemens EDA Precision ስሪቶችን ለማግኘት Siemens EDAን ያግኙ።
ሲኖፕሲዎች* Synplify*፣ Synplify Pro* እና Synplify Premier ኢንቴል ኳርትስ ፕራይም ሶፍትዌሮችን የሚደግፉ ሲኖፕሲዎች ማመሳሰል፣ ማመሳሰል ፕሮ እና ማመሳሰል ፕሪሚየር ስሪቶች በተለምዶ የሚለቀቁት የኢንቴል ኳርተስ ፕራይም ሶፍትዌር ከተለቀቀ በኋላ ነው። ኢንቴል ኳርትስ ፕራይም ስታንዳርድ እትም የሶፍትዌር መልቀቂያ ስሪት 22.1stdን የሚደግፉ የሲኖፕሲዎች ሲንፕሊፋይ፣ ስፕሊፋይ ፕሮ እና ሲምፕሊፋይ ፕሪሚየር ስሪቶችን ለማግኘት ሲኖፕሲዎችን ያግኙ።

ሠንጠረዥ 10.
የኢንቴል ኳርትስ ዋና መደበኛ እትም የሚደግፉ የማስመሰል መሳሪያዎች የሶፍትዌር መልቀቂያ ስሪት 22.1std
የሚከተሉት የማስመሰል መሳሪያዎች RTL እና ተግባራዊ በር-ደረጃ ማስመሰልን ይሰጣሉ። 64-ቢት የማስመሰል መሳሪያዎች ብቻ ይደገፋሉ።

የማስመሰል መሳሪያዎች ሥሪት
Aldec ንቁ-HDL 13.0 (ዊንዶውስ ብቻ)
Aldec ሪቪዬራ-PRO 2019.1
Cadence Xcelium* ትይዩ ሎጂክ ማስመሰል 21.09.003 (ሊኑክስ * ብቻ)
Questa-Intel FPGA እትም 2021.2
ሲመንስ EDA ሞዴል ሲም SE 2020.4
Siemens EDA Questa የላቀ ሲሙሌተር 2020.4
ሲኖፕሲዎች VCS* እና VCS MX P-2019.06-SP2-5 (ሊኑክስ ብቻ)

Questa-Intel FPGA እትም የFlexLM ፍቃድ ዴሞን ስሪት 11.16.4.0 (ወይም ከዚያ በኋላ) ይፈልጋል። የፍቃድ ዴሞንን ከFlexLM License Deemons ለIntel FPGA ሶፍትዌር ማግኘት ይችላሉ። web ገጽ.
የኢንቴል ኤፍፒጂኤ እትም የማስመሰል መሳሪያዎችን ከኤፍፒጂኤዎች ማውረድ ማእከል ማግኘት ይችላሉ።
የQuesta-Intel FPGA እትም ስሪት 2021.2 የስርዓተ ክወና ድጋፍ

  • ቀይ ኮፍያ ኢንተርፕራይዝ ሊኑክስ 7 (64-ቢት)
  • ቀይ ኮፍያ ኢንተርፕራይዝ ሊኑክስ 8 (64-ቢት)
  • SUSE ሊኑክስ ኢንተርፕራይዝ አገልጋይ 12 (64-ቢት)
  • ዊንዶውስ 10 (64-ቢት)

ተዛማጅ መረጃ

  • Intel Quartus Prime Standard Edition ንድፍ ሶፍትዌር ለሊኑክስ
  • Intel Quartus Prime Standard Edition ንድፍ ሶፍትዌር ለዊንዶው
  • Intel Quartus Prime Lite እትም ዲዛይን ሶፍትዌር ለሊኑክስ
  • Intel Quartus Prime Lite እትም ንድፍ ሶፍትዌር ለዊንዶው

1.9. የጸረ-ቫይረስ ማረጋገጫ
የኢንቴል ኳርተስ ፕራይም ሶፍትዌር በሚከተለው ሶፍትዌር ከቫይረስ ነፃ ሆኖ ተረጋግጧል።
የጸረ-ቫይረስ ማረጋገጫ ሶፍትዌር ለኢንቴል ኳርትስ ዋና መደበኛ እትም። ስሪት 22.1std.1
McAfee VirusScan ትዕዛዝ መስመር ለ Linux64 ስሪት: 7.0.0.477
AV Engine ስሪት: 6300.9389 ለ Linux64.
የDat ስብስብ ስሪት፡ 10629 የተፈጠረው በፌብሩዋሪ 22 2023 ነው።
የጸረ-ቫይረስ ማረጋገጫ ሶፍትዌር ለኢንቴል ኳርትስ ዋና መደበኛ እትም። ስሪት 22.1st
McAfee VirusScan ትዕዛዝ መስመር ለ Linux64 ስሪት: 7.0.0.477
AV Engine ስሪት: 6300.9389 ለ Linux64.
የDat ስብስብ ስሪት፡ 10505 ጥቅምት 19 2022 ተፈጠረ

1.10. የሶፍትዌር ጉዳዮች ተፈትተዋል።
በIntel Quartus Prime Standard Edition ስሪት 22.1std.1 ውስጥ ምንም አይነት የደንበኛ አገልግሎት ጥያቄ በሌላ መልኩ አልተፈታም።
የሚከተሉት የደንበኞች አገልግሎት ጥያቄዎች በIntel Quartus Prime Standard Edition 22.1std ውስጥ ተስተካክለዋል ወይም በሌላ መንገድ ተፈትተዋል፡
ሠንጠረዥ 11.
በIntel Quartus Prime Standard Edition ስሪት 22.1std ውስጥ የተፈቱ ጉዳዮች

የኢንቴል ፕሪሚየር ድጋፍ መያዣ ቁጥሮች
00421084 00451015 00476432 00501636 00529632 00540927 00541897 00550660
00553391 00573916 00630517 00641570 00644185 00647421 00649470 00661097
00668452 00669646 00675753 00683291 00689611 00690524 00693884 00696003
00698210 00698732 05129080 05465225 11396299

1.11. በዚህ ልቀት ውስጥ የተካተቱ የሶፍትዌር መጠገኛዎች
Intel Quartus Prime Standard Edition ስሪት 22.1std.1 ለቀደመው የIntel Quartus Prime Standard እትም ሶፍትዌር የሚከተሉትን ፕላቶች ይዟል።
ሠንጠረዥ 12.
በ Intel Quartus Prime Standard Edition ስሪት ውስጥ የተካተቱ የሶፍትዌር መጠገኛዎች 22.1ኛ.1

የሶፍትዌር ሥሪት ጠጋኝ የደንበኛ አገልግሎት ጥያቄ ቁጥር
Intel Quartus Prime ስሪት 22.1 0.01 ኛ
Intel Quartus Prime ስሪት 21.1 0.14 ኛ 00741067

Intel Quartus Prime Standard Edition ስሪት 22.1std ለቀደመው የIntel Quartus Prime Standard እትም ሶፍትዌር የሚከተሉትን ፕላቶች ይዟል።
ሠንጠረዥ 13. በ Intel Quartus Prime Standard Edition ስሪት 22.1std ውስጥ የተካተቱ የሶፍትዌር መጠገኛዎች

የሶፍትዌር ሥሪት ጠጋኝ የደንበኛ አገልግሎት ጥያቄ ቁጥር
Intel Quartus Prime ስሪት 21.1 0.10 ኛ
Intel Quartus Prime ስሪት 21.1 0.08 ኛ 00693884
Intel Quartus Prime ስሪት 21.1 0.07 ኛ 00501636
Intel Quartus Prime ስሪት 21.1 0.06 ኛ 00689611
Intel Quartus Prime ስሪት 21.1 0.04 ኛ ዲፒ
Intel Quartus Prime ስሪት 21.1 0.03 ኛ
Intel Quartus Prime ስሪት 21.1 0.02 ኛ
Intel Quartus Prime ስሪት 20.1.1 1.09 ኛ 00702107
Intel Quartus Prime ስሪት 20.1 0.14 ኛ 00702107
Intel Quartus Prime ስሪት 18.1.1 1.13 ኛ
Intel Quartus Prime ስሪት 18.1.1 1.12 ኛ
Intel Quartus Prime ስሪት 18.1.1 1.09 ኛ
Intel Quartus Prime ስሪት 18.1 0.23 ኛ 00698210
Intel Quartus Prime ስሪት 18.1 0.21 ኛ 00669646
Intel Quartus Prime ስሪት 18.1 0.20 ኛ 00689611

1.12. የቅርብ ጊዜ የታወቁ የኢንቴል ኳርትስ ዋና ሶፍትዌር ጉዳዮች
በIntel Quartus Prime Standard Edition ስሪት 22.1std ላይ ተጽዕኖ ስለሚያሳድሩ የታወቁ ጉዳዮች መረጃ በIntel FPGA የእውቀት ቤዝ ውስጥ ይገኛል።
ኢንቴል ኳርትስ ዋና መደበኛ እትም ስሪት 22.1std ላይ ተጽዕኖ ስላላቸው ጉዳዮች የቅርብ ጊዜ መረጃ ለማግኘት፣ እንደገናview ለIntel Quartus Prime Standard Edition ስሪት 22.1std የሚተገበሩ የIntel FPGA እውቀት መሰረት መጣጥፎች።
ሠንጠረዥ 14.
ኢንቴል ኳርትስ ፕራይም መደበኛ እትም ስሪት 22.1std ላይ ተጽዕኖ የሚያደርጉ ጠቃሚ የታወቁ ጉዳዮች

መግለጫ የማጣራት ስራ
በማይክሮሶፍት* ዊንዶውስ ሲስተሞች፣ SDI II Intel FPGA IP ንድፍ ምሳሌample ትውልድ በሚከተለው የስህተት መልእክት አይሳካም
ስህተት፡ የቀድሞ ማመንጨት አልተሳካም።ample ንድፍ example_ንድፍ ወደ:: \sdi_ii_0_ለምሳሌampንድፍ
ለዝርዝሮች እና የጥገና መገኘት፣ ይመልከቱ ለምን SDI II ኢንቴል FPGA IP ንድፍ ያደርጋል exampኢንቴል ኳርትስ ፕራይም ሶፍትዌሮችን ለዊንዶው ሲጠቀሙ ትውልድ ይወድቃል? በ Intel FPGA እውቀት መሠረት.
በማይክሮሶፍት ዊንዶውስ ሲስተም ኢንቴል አሪያ 10 EMIF Ex ሲያመነጭ የሚከተለው ስህተት ይከሰታልample ንድፍ ለ የማስመሰል;
ስህተት፡ emif_0፡ ማስመሰልን ሲያመነጭ ስህተት ተፈጥሯል።ample ንድፍ. ለዝርዝሮች make_sim_design_errors.logን ይመልከቱ።
ስህተት፡ የቀድሞ ማመንጨት አልተሳካም።ample ንድፍ ወደ፡ample design directory> አመንጪ Example ንድፍ: ስህተቶች ጋር ተጠናቅቋል
እነዚህን የማስጠንቀቂያ መልዕክቶች በደህና ችላ ማለት ትችላለህ። ማስመሰል file የ Siemens EDA Questa እና Aldec Riviera-PRO የማስመሰል ሶፍትዌሮች የተፈጠሩ እና ተገቢውን ንድፍ ይይዛሉ። fileማስመሰልን በተሳካ ሁኔታ ለማስኬድ። ለተጨማሪ ዝርዝሮች እና የመጠገን መገኘት፣ ይመልከቱ ለምን Intel Arria 10 EMIF Exampለዊንዶውስ ኢንቴል ኳርትስ ፕራይም ስታንዳርድ እትም ሶፍትዌር ሥሪት 22.1 ሲጠቀሙ የንድፍ ማመንጨት ወድቋል? በ Intel FPGA እውቀት መሠረት.
የIntel Arria 10 EMIF IP Skip Calibration ሁነታን ሲጠቀሙ፣የIntel Arria 10 EMIF IP በ Siemens EDA Questa simulation ሶፍትዌር (Siemens EDA Questa) ማስመሰል
የላቀ ሲሙሌተር ወይም Questa-Intel FPGA እትም) ሊሰቀል ይችላል።
ማንጠልጠያውን ለመከላከል ለፈጣን የማስመሰል የማስመሰል አማራጩን አብስትራክት PHY ይጠቀሙ።
ለተጨማሪ ዝርዝሮች እና የመጠገን መገኘት፣ ይመልከቱ ኢንቴል ኳርትስ ፕራይም ስታንዳርድ እትም የሶፍትዌር ስሪት 10 ሲጠቀሙ የኢንቴል አሪያ 22.1 EMIF IP በ Mentor simulators ውስጥ ለምን ይንጠለጠላል በ Intel FPGA እውቀት መሠረት.

ለቀድሞዎቹ የኳርትስ ፕራይም ሶፍትዌሮች ስሪቶች የሚታወቅ የችግር መረጃን በኢንቴል FPGA የእውቀት መሠረት ላይ ማግኘት ይችላሉ። web ገጽ.
የቀደሙት የኳርትስ II ሶፍትዌር ስሪቶችን የሚነኩ የታወቁ የሶፍትዌር ጉዳዮች መረጃ በIntel Quartus Prime እና Quartus II ሶፍትዌር ድጋፍ ላይ ይገኛል። web ገጽ.
የኢንቴል FPGA IP ቤተ መፃህፍትን ስለሚነኩ ጉዳዮች መረጃ ለእያንዳንዱ አይፒ በመልቀቂያ ማስታወሻዎች ውስጥ ይገኛል። በIntel FPGA Documentation Index ላይ የአይ ፒ መልቀቂያ ማስታወሻዎችን ማግኘት ይችላሉ። web ገጽ.
ተዛማጅ መረጃ

  • ኢንቴል FPGA እውቀት መሠረት
  • Intel Quartus Prime እና Quartus II ሶፍትዌር ድጋፍ
  • የኢንቴል ኤፍፒጂኤዎች እና ፕሮግራሚካል መሳሪያዎች የሚለቀቁት ማስታወሻዎች

1.13. Intel Quartus Prime Standard Edition ሶፍትዌር እና መሳሪያ የድጋፍ ልቀት ማስታወሻዎች መዛግብት
ለእነዚህ የመልቀቂያ ማስታወሻዎች ለቅርብ ጊዜዎቹ እና ቀዳሚዎቹ ስሪቶች፣ Intel Quartus Prime Standard Edition Software and Device Support Release Notes የሚለውን ይመልከቱ። የሶፍትዌር ስሪት ካልተዘረዘረ ለቀድሞው የሶፍትዌር ስሪት የመልቀቂያ ማስታወሻዎች ተፈጻሚ ይሆናሉ።
1.14. Intel Quartus Prime Standard Edition የሶፍትዌር መለቀቅ ሥሪት 22.1 ኛ ሰነድ የክለሳ ታሪክ

የሰነድ ሥሪት ኢንቴል ኳርትስ ዋና ስሪት ለውጦች
2023.03.21 22.1ኛ.1 • ለሥሪት 22.1std.1 ተዘምኗል
• ለሥሪት 22.1std የተስተካከለ ቁጥር።
2022.11.07 22.1 ኛ • የዘመኑ የቅርብ ጊዜ የታወቁ የሶፍትዌር ጉዳዮች።
2022.10.31 22.1 ኛ • የመጀመሪያ መለቀቅ።

Intel Quartus Prime Standard እትም፡ ስሪት 22.1std ሶፍትዌር እና የመሣሪያ ድጋፍ የመልቀቂያ ማስታወሻዎች

ኢንቴል 25ጂ ኢተርኔት ኢንቴል FPGA IP - ምልክት 1 የመስመር ላይ ስሪት
ኢንቴል 25ጂ ኢተርኔት ኢንቴል FPGA IP - ምልክት 2 ግብረ መልስ ላክ
መታወቂያ፡ 683593
RN-01080-22.1 ኛ
ስሪት: 2023.03.21

ሰነዶች / መርጃዎች

ኢንቴል ኳርትስ ዋና መደበኛ እትም [pdf] የተጠቃሚ መመሪያ
Quartus Prime Standard Edition፣ Prime Standard Edition፣ Standard Edition

ዋቢዎች

አስተያየት ይስጡ

የኢሜል አድራሻዎ አይታተምም። አስፈላጊ መስኮች ምልክት ተደርጎባቸዋል *