ኢንቴል ባለሶስት-ፍጥነት ኢተርኔት Agilex FPGA IP ንድፍ Example
ፈጣን ጅምር መመሪያ
ባለሶስት-ፈጣን ኢተርኔት Intel® FPGA IP ለኢንቴል አጊሌክስ ™ የቀድሞ ዲዛይን የማመንጨት ችሎታ ይሰጣልamples ለተመረጡት ውቅሮች፣ ይህም እንዲያደርጉ ያስችልዎታል፡-
- የአይፒ አካባቢ አጠቃቀም እና ጊዜ ግምት ለማግኘት ንድፉን ያጠናቅቁ።
- የአይፒ ተግባርን በማስመሰል ለማረጋገጥ ንድፉን አስመስለው።
- የ Intel Agilex I-Series Transceiver-SoC Development Kit በመጠቀም በሃርድዌር ላይ ያለውን ንድፍ ይሞክሩ።
- ንድፍ ሲያመነጩ example, የመለኪያ አርታዒው በራስ-ሰር ይፈጥራል fileንድፉን በሃርድዌር ውስጥ ለማስመሰል፣ ለማጠናቀር እና ለመሞከር አስፈላጊ ነው።
ማስታወሻየሃርድዌር ድጋፍ በአሁኑ ጊዜ በ Intel Quartus® Prime Pro Edition ሶፍትዌር ስሪት 22.3 ውስጥ አይገኝም።
ልማት ኤስtages ለዲዛይን Example
ማስታወሻበ Intel Quartus Prime Pro እትም የሶፍትዌር ስሪት 22.3፣ በቀድሞ ዲዛይኑ ላይ የማስመሰል አለመሳካትን ለማስወገድ ፕላስተር ያስፈልጋል።ampለ. ለበለጠ መረጃ የKDB ማገናኛን ይመልከቱ፡ ለምንድነው ማስመሰል ለTriple-Speed Ethernet Intel FPGA IP Multiport Design Exampለ?.
ተዛማጅ መረጃ
ለTriple-Speed Ethernet Intel® FPGA IP Multiport Design Exampለ?.
ማውጫ መዋቅር
ባለሶስት-ፍጥነት ኢተርኔት ኢንቴል FPGA IP ንድፍ ምሳሌample file ማውጫዎች የሚከተሉትን የመነጩ ይይዛሉ files ለ 10/100/1000 መልቲፖርት ኢተርኔት ማክ ዲዛይን ዘፀample ከ1000BASE-X/SGMII PCS እና የተከተተ PMA ጋር
- የሃርድዌር ውቅር እና ሙከራ files (የሃርድዌር ንድፍ ለምሳሌample) ውስጥ ይገኛሉample_dir>/ሃርድዌር_ፈተና_ንድፍ።
- ማስመሰል files (የሙከራ ቤንች ለማስመሰል ብቻ) ይገኛሉample_dir>/ ለምሳሌample_testbench.
- የማጠናቀር-ብቻ ንድፍ example በ ውስጥ ይገኛል።ample_dir>/ የማጠናቀር_ሙከራ_ንድፍ።
- የማጠናቀር ሙከራ እና የሃርድዌር ሙከራ ዲዛይኖች ይጠቀማሉ fileኤስ ውስጥample_dir>/ex_tse/common.
ማውጫ መዋቅር ለዲዛይን Example
ጠረጴዛ 1. ባለሶስት-ፍጥነት ኢተርኔት ኢንቴል FPGA IP Testbench File መግለጫ
ማውጫ/File | መግለጫ |
Testbench እና ማስመሰል Files | |
<design_example_dir>/ ለምሳሌample_testbench/ መሰረታዊ_avl_tb_top_mac_pcs.sv | ከፍተኛ-ደረጃ testbench file. የሙከራ ወንበሩ DUT ን ያፋጥናል እና ፓኬቶችን ለማምረት እና ለመቀበል የVerilog HDL ተግባራትን ያካሂዳል። |
Testbench ስክሪፕቶች | |
<design_example_dir>/ ለምሳሌample_testbench/ run_vsim_mac_pcs.sh | የሙከራ ወንበሩን ለማስኬድ የሞዴል ሲም ስክሪፕት። |
ቀጠለ… |
ማውጫ/File | መግለጫ |
<design_example_dir>/ ለምሳሌample_testbench/ ሩጫ_vcs_mac_pcs.sh | የፈተና ወንበሩን ለማስኬድ የSynopsys* VCS ስክሪፕት። |
<design_example_dir>/ ለምሳሌample_testbench/ ሩጫ_vcsmx_mac_pcs.sh | የሙከራ ቤንች ለማሄድ ሲኖፕሲው VCS MX ስክሪፕት (Verilog HDL እና System Verilog ከVHDL ጋር የተጣመረ) |
<design_example_dir>/ ለምሳሌample_testbench/ ሩጫ_xcelium_mac_pcs.sh | ቴስትቤንች ለማስኬድ የXcelium* ስክሪፕት። |
ሠንጠረዥ 2. ባለሶስት-ፍጥነት ኢተርኔት ኢንቴል FPGA IP የሃርድዌር ንድፍ ዘፀample File መግለጫ
ማውጫ/File | መግለጫ |
<design_example_dir>/የሃርድዌር_ሙከራ_ንድፍ/ altera_eth_tse_hw.qpf | Intel Quartus Prime ፕሮጀክት file. |
<design_example_dir>/የሃርድዌር_ሙከራ_ንድፍ/ altera_eth_tse_hw.qsf | Intel Quartus Prime የፕሮጀክት ቅንብሮች file. |
<design_example_dir>/የሃርድዌር_ሙከራ_ንድፍ/ altera_eth_tse_hw.sdc | ሲኖፕሲዎች የንድፍ ገደቦች fileኤስ. እነዚህን መቅዳት እና ማስተካከል ይችላሉ። files ለራስህ ኢንቴል Stratix® 10 ንድፍ. |
<design_example_dir>/የሃርድዌር_ሙከራ_ንድፍ/ altera_eth_tse_hw.v | ከፍተኛ-ደረጃ Verilog HDL ንድፍ ምሳሌample file. |
<design_example_dir>/የሃርድዌር_ሙከራ_ንድፍ/ የተለመደ/ | የሃርድዌር ንድፍ ለምሳሌampድጋፍ files. |
ንድፍ በማመንጨት ላይ Example
ንድፍ የማፍለቅ ሂደት Example
Exampበባለሶስት-ፍጥነት ኢተርኔት ኢንቴል FPGA IP ፓራሜትር አርታዒ ውስጥ የንድፍ ታብ
የሃርድዌር ንድፍ ለማመንጨት እነዚህን ደረጃዎች ይከተሉample እና testbench:
- በ Intel Quartus Prime Pro እትም ሶፍትዌር ውስጥ፣ ጠቅ ያድርጉ File ➤ አዲስ የፕሮጀክት አዋቂ አዲስ የኳርትስ ፕራይም ፕሮጄክት ለመፍጠር ወይም File ➤ የኳርትስ ፕራይም ፕሮጄክት ለመክፈት ፕሮጀክት ይክፈቱ። ጠንቋዩ መሣሪያን እንዲገልጹ ይጠይቅዎታል።
- የIntel Agilex መሣሪያ ቤተሰብን ይምረጡ እና LVDS ያለው መሣሪያ ይምረጡ።
- ጠንቋዩን ለመዝጋት ጨርስን ጠቅ ያድርጉ።
- በአይፒ ካታሎግ ውስጥ የበይነገጽ ፕሮቶኮልን ያግኙ እና ይምረጡ ➤ ኢተርኔት ➤ 1G Multirate
- ኢተርኔት ➤ ባለሶስት-ፍጥነት ኢተርኔት ኢንቴል FPGA አይ.ፒ. አዲሱ የአይፒ ልዩነት መስኮት ይታያል.
- የከፍተኛ ደረጃ ስም ይግለጹ ለእርስዎ ብጁ IP ልዩነት. የመለኪያ አርታዒው የአይፒ ልዩነት ቅንብሮችን ያስቀምጣል። file የሚል ስያሜ ተሰጥቶታል። .አይ.ፒ.
- እሺን ጠቅ ያድርጉ። የመለኪያ አርታዒዎች ይታያሉ.
- ንድፍ ለማመንጨት example, ንድፍ ይምረጡ exampከቅድመ-ቅምጦች ቤተ-መጽሐፍት ቅድመ-ቅምጥ ያድርጉ እና ተግብር የሚለውን ጠቅ ያድርጉ። ንድፍ ሲመርጡ, ስርዓቱ ለዲዛይኑ የአይፒ መለኪያዎችን በራስ-ሰር ይሞላል. የመለኪያ አርታኢው ዲዛይኑን ለማመንጨት የሚያስፈልጉትን መለኪያዎች በራስ-ሰር ያዘጋጃል።ampለ. በ IP ትር ውስጥ ቅድመ-ቅምጦችን አይቀይሩ.
- ለኤክስample ንድፍ Fileዎች፣ ቴስትቤንች ለማመንጨት የሲሙሌሽን አማራጭን ወይም የሃርድዌር ዲዛይን ለመፍጠር የSynthesis አማራጭን ይምረጡampለ.
- ማሳሰቢያ፡- ዲዛይኑን ለማመንጨት ቢያንስ አንዱን አማራጮች መምረጥ አለቦትampለ.
- በኤክስample Design tab፣ በመነጨ HDL ቅርጸት፣ Verilog HDL ወይም VHDL የሚለውን ይምረጡ።
- በዒላማ ልማት ኪት ስር፣ Agilex I-Series Transceiver-SoC Development Kit (AGIB027R31B1E2VR0) ይምረጡ ወይም ምንም ይምረጡ
- Ex. ን ጠቅ ያድርጉample ንድፍ፡ “ለምሳሌample_design” ቁልፍ። ምረጥ Example ንድፍ ማውጫ መስኮት ይታያል.
- ንድፍ መቀየር ከፈለጉ example directory ዱካ ወይም ከሚታየው ነባሪዎች ስም (eth_tse_0_example_design)፣ ወደ አዲሱ መንገድ ያስሱ እና አዲሱን ንድፍ ይተይቡ የቀድሞampየ ማውጫ ስም (ample_dir>)።
- እሺን ጠቅ ያድርጉ።
ንድፍ Example መለኪያዎች
መለኪያዎች በኤክስample ንድፍ ትር
መለኪያ | መግለጫ |
ንድፍ ይምረጡ | ይገኛል exampለ IP መለኪያ ቅንጅቶች ንድፎች. |
Example ንድፍ Files | የ fileለተለያዩ የእድገት ደረጃዎች ማመንጨት.
• ማስመሰል—አስፈላጊውን ያመነጫል። fileየቀድሞውን ለማስመሰል sampንድፍ. • ውህደቱን ያመነጫል። fileኤስ. እነዚህን ተጠቀም files ንድፉን በ Intel Quartus Prime Pro Edition ሶፍትዌር ለሃርድዌር ሙከራ ለማጠናቀር እና የማይንቀሳቀስ የጊዜ ትንተና ለማካሄድ። |
ማመንጨት File ቅርጸት | የ RTL ቅርጸት files ለ ማስመሰል-Verilog ወይም VHDL. |
ቦርድ ይምረጡ | ለዲዛይን ትግበራ የሚደገፍ ሃርድዌር። የኢንቴል FPGA ልማት ቦርድን በሚመርጡበት ጊዜ የ የዒላማ መሣሪያ በልማት ኪት ላይ ካለው መሳሪያ ጋር የሚዛመድ ነው።
ይህ ምናሌ ከሌለ ለመረጡት አማራጮች ምንም የሚደገፍ ሰሌዳ የለም። Agilex I-Series Transceiver-SoC Development Kit: ይህ አማራጭ ዲዛይኑን ለመፈተሽ ያስችልዎታል example በተመረጠው ኢንቴል FPGA IP ልማት ኪት ላይ። ይህ አማራጭ በራስ-ሰር ይመርጣል የዒላማ መሣሪያ መሣሪያውን በ Intel FPGA IP ማጎልበቻ ኪት ላይ ለማዛመድ። የቦርድ ክለሳዎ የተለየ የመሣሪያ ደረጃ ካለው፣ የታለመውን መሣሪያ መቀየር ይችላሉ። ምንም: ይህ አማራጭ ለዲዛይን የቀድሞ የሃርድዌር ገጽታዎችን አያካትትምampለ. |
ባለሶስት-ፍጥነት ኢተርኔት ኢንቴል FPGA IP ንድፍ ማስመሰል Example Testbench
የማስመሰል ሂደት ዘፀample Testbench
የሙከራ ወንበሩን ለማስመሰል እነዚህን ደረጃዎች ይከተሉ፡-
- ወደ testbench የማስመሰል ማውጫ ይቀይሩample_dir>/ ምሳሌample_testbench.
- ለመረጡት የሚደገፍ ሲሙሌተር የማስመሰል ስክሪፕቱን ያሂዱ። ስክሪፕቱ በሲሙሌተሩ ውስጥ ያለውን የሙከራ ቤንች ያጠናቅራል እና ያስኬዳል። ቴስትቤንች ለማስመሰል ደረጃዎችን ወደ ሠንጠረዡ ተመልከት።
ቴስትቤንች ለማስመሰል ደረጃዎች
አስመሳይ | መመሪያዎች |
ሞዴል ሲም* | በትእዛዝ መስመር vsim -do run_vsim_mac_pcs.do ብለው ይፃፉ። ModelSim GUI ን ሳታመጡ ማስመሰል ከመረጥክ vsim -c -do run_vsim_mac_pcs.do ብለው ይፃፉ። |
ሲኖፕሲዎች VCS*/ VCS MX | በትእዛዝ መስመር sh run_vcs_mac_pcs.sh ወይም sh run_vcsmx_mac_pcs.sh ይተይቡ። |
Xcelium | በትእዛዝ መስመር sh run_xcelium_mac_pcs.sh ብለው ይፃፉ። |
- ውጤቱን ይተንትኑ. የተሳካለት ቴስትቤንች አስር ፓኬቶችን ይልካል፣ ተመሳሳይ ቁጥር ያላቸውን ፓኬቶች ይቀበላል እና የሚከተለውን መልእክት ያሳያል
ንድፉን ማጠናቀር እና ማዋቀር Example በሃርድዌር ውስጥ
የሃርድዌር ንድፍ ለማጠናቀር example እና በእርስዎ Intel Agilex መሣሪያ ላይ ያዋቅሩት፣ እነዚህን ደረጃዎች ይከተሉ።
- የሃርድዌር ዲዛይን ያረጋግጡ exampትውልድ ሙሉ ነው.
- በIntel Quartus Prime Pro እትም ሶፍትዌር ውስጥ የIntel Quartus Prime ፕሮጄክትን ይክፈቱample_dir>/የሃርድዌር_ሙከራ_ንድፍ/ altera_eth_tse_hw.qpf.
- በማቀነባበሪያ ምናሌው ላይ ጀምር ማጠናቀርን ጠቅ ያድርጉ።
- ከተሳካ ጥንቅር በኋላ, አ.ሶፍ file ውስጥ ይገኛል።ample_dir>/hardwarde_test_design ማውጫ
10/100/1000 መልቲፖርት ኢተርኔት ማክ ዲዛይን ዘፀample ከ1000BASE-X/SGMII PCS እና የተከተተ PMA ጋር
ይህ ንድፍ example ባለሶስት-ፍጥነት ኢተርኔት አይፒን በመጠቀም ለ Intel Agilex መሳሪያዎች የኤተርኔት መፍትሄን ያሳያል። ንድፉን ከኤክስampየሶስትዮሽ ፍጥነት ኢተርኔት IP መለኪያ አርታዒ ንድፍ ትር። ንድፍ ለማመንጨት exampበመጨረሻው ምርትዎ ላይ ለማመንጨት ላሰቡት የአይፒ ልዩነት መጀመሪያ የመለኪያ እሴቶችን ማዘጋጀት አለብዎት። ንድፍ ማመንጨት example የአይፒ ቅጂ ይፈጥራል. የ testbench እና ሃርድዌር ንድፍ exampየአይፒ ቅጂውን በሙከራ ላይ እንዳለ መሳሪያ (DUT) ይጠቀሙ። ለDUT የመለኪያ እሴቶቹን በመጨረሻው ምርትዎ ውስጥ ካሉት የመለኪያ እሴቶች ጋር እንዲዛመድ ካላስቀመጡ፣ ዲዛይኑ የቀድሞampእርስዎ ያመነጩት ያሰቡትን የአይፒ ልዩነት አይጠቀምም።
ባህሪያት
- ንድፍ ያመነጫል example ለባለሶስት-ፍጥነት ኢተርኔት መልቲፖርት ኢተርኔት ማክ ያለ Internal FIFO እና PCS ከ LVDS I/O ጋር ባለብዙ ቻናል የተጋራ FIFO።
- በማስተላለፊያ መንገዱ ላይ ትራፊክ ያመነጫል እና የተቀበለውን መረጃ በLVDS I/O ውጫዊ loopback በኩል በማስተላለፊያው በኩል ያረጋግጣል።
- Tx እና RX ተከታታይ ውጫዊ loopback ሁነታ በLVDS I/O በኩል።
- ውጫዊ loopback ብቻ ነው የሚደግፈው።
- አራት ወደቦችን ብቻ ይደግፋል።
የሃርድዌር እና የሶፍትዌር መስፈርቶች
- ኢንቴል ዲዛይኑን ለመፈተሽ የሚከተለውን ሃርድዌር እና ሶፍትዌር ይጠቀማልampበሊኑክስ ሲስተም ውስጥ
- Intel Quartus Prime Pro እትም ሶፍትዌር
- ModelSim፣ VCS፣ VCS MX እና Xcelium ማስመሰያዎች
ተግባራዊ መግለጫ
የንድፍ እቃዎች
አካል | መግለጫ |
ባለሶስት-ፍጥነት ኢተርኔት ኢንቴል FPGA አይፒ | ባለሶስት-ፍጥነት ኢተርኔት ኢንቴል FPGA IP (altera_eth_tse) በሚከተለው ውቅር ነው የሚቀርበው፡-
• ዋና ውቅረቶች፡- — ዋና ልዩነት: 10/100/1000Mb ኢተርኔት ማክ ከ1000BASE-X/SGMII PCS ጋር — ውስጣዊ FIFO ይጠቀሙ: አልተመረጠም። — የወደብ ብዛት: 4 — አስተላላፊ ዓይነትኤልቪዲኤስ አይ/ኦ • የማክ አማራጮች፡- — MAC 10/100 ግማሽ ባለ ሁለትዮሽ ድጋፍን አንቃ: ተመርጧል — በMII/GMII ላይ የአካባቢ መልሶ መመለስን አንቃ: ተመርጧል — ተጨማሪ የ MAC ዩኒካስት አድራሻዎችን አንቃ: አልተመረጠም። — የስታቲስቲክስ ቆጣሪዎችን ያካትቱ: ተመርጧል — ባለ 64-ቢት ስታቲስቲክስ ባይት ቆጣሪዎችን አንቃ: አልተመረጠም። — ባለብዙ-ካስት ሃሽታብልን ያካትቱ: አልተመረጠም። — የፓኬት ራስጌዎችን ወደ 32-ቢት ድንበር አሰልፍ: አልተመረጠም። — ባለ ሙሉ-duplex ፍሰት መቆጣጠሪያን አንቃ: ተመርጧል — VLAN ማግኘትን አንቃ: አልተመረጠም። — የአስማት ፓኬት ማግኘትን አንቃ: ተመርጧል — የኤምዲአይኦ ሞጁል (ኤምዲሲ/ኤምዲአይኦ) ያካትቱ: ተመርጧል — የአስተናጋጅ ሰዓት አካፋይ: 50 • የጊዜ ገደብamp አማራጮች፡- — የሰዓት ጊዜን አንቃamping: አልተመረጠም። • PCS/Transceiver አማራጮች፡- — SGMII ድልድይ አንቃ: ተመርጧል |
የደንበኛ አመክንዮ | በአይፒ በኩል የተላኩ ወይም የተቀበሏቸውን እሽጎች ያመነጫል እና ይቆጣጠራል። |
የኤተርኔት የትራፊክ መቆጣጠሪያ | በአቫሎን® ማህደረ ትውስታ-ካርታ በይነገፅ ቁጥጥር የሚደረግበት። |
JTAG ወደ አቫሎን ማህደረ ትውስታ- በካርታ የተሰራ በይነገጽ አድራሻ ዲኮደር | ጄ ቀይርTAG ለአቫሎን ማህደረ ትውስታ-ካርታ በይነገጽ ምልክቶች። |
የሰዓት እና ምልክቶችን ዳግም ያስጀምሩ
ሲግናል | አቅጣጫ | ስፋት | መግለጫ |
ref_clk | ግቤት | 1 | አሽከርካሪዎች የመዳረሻ ማጣቀሻ ሰዓት እና የ MAC FIFO ሁኔታ በይነገጽ ሰዓት ይመዘግባሉ። ሰዓቱን ወደ 100 ሜኸር ያዘጋጁ። |
iopll_refclk | ግቤት | 1 | ለ 125 Gbps ተከታታይ LVDS I/O በይነገጽ 1.25 ሜኸ ማጣቀሻ ሰዓት። |
ማስመሰል
የማስመሰል ሙከራ መያዣ የሚከተሉትን ደረጃዎች ያከናውናል:
- ንድፍ ይጀምራል example ከ1ጂ የስራ ፍጥነት ጋር።
- የሶስትዮሽ ፍጥነት ኢተርኔት ማክ እና ፒሲኤስ መመዝገቢያዎችን ያዋቅራል።
- የመለኪያው ትክክለኛ ምልክት እስኪረጋገጥ ድረስ ይጠብቃል።
- የPTP ያልሆኑ ፓኬጆችን ወደ ወደብ 0 ይልካል።
- የማክ RX ወደብ 0 የተቀበሉትን እሽጎች ወደ MAC TX ወደብ 1 ይልካል።
ቴስትቤንች
የንድፍ ንድፍ አግድ Example Multiport 10/100/1000Mb ኢተርኔት ማክ ከ1000BASE-X/SGMII PCS ከLVDS I/O Simulation Testbench ጋር
የቪሲኤስ ሲሙሌተር የማስመሰል ሙከራ ውጤት
የሰነድ ማሻሻያ ታሪክ ለሶስት-ፍጥነት ኢተርኔት ኢንቴል FPGA IP Intel Agilex ንድፍ Example የተጠቃሚ መመሪያ
የሰነድ ሥሪት | ኢንቴል ኳርትስ ዋና ስሪት | የአይፒ ስሪት | ለውጦች |
2022.12.09 | 22.3 | 21.1.0 | የመጀመሪያ ልቀት |
ሰነዶች / መርጃዎች
![]() |
ኢንቴል ባለሶስት-ፍጥነት ኢተርኔት Agilex FPGA IP ንድፍ Example [pdf] የተጠቃሚ መመሪያ ባለሶስት-ፍጥነት ኢተርኔት Agilex FPGA IP ንድፍ Example, ባለሶስት-ፍጥነት, ኢተርኔት Agilex FPGA IP ንድፍ Example, IP ንድፍ Example |